电子文档交易市场
安卓APP | ios版本
电子文档交易市场
安卓APP | ios版本

北邮电子院专业实验报告材料

47页
  • 卖家[上传人]:re****.1
  • 文档编号:432923106
  • 上传时间:2023-08-30
  • 文档格式:DOC
  • 文档大小:3.14MB
  • / 47 举报 版权申诉 马上下载
  • 文本预览
  • 下载提示
  • 常见问题
    • 1、word电子工程学院ASIC专业实验报告班级:某某: 学号:班内序号:第一局部 语言级仿真LAB 1:简单的组合逻辑设计一、 实验目的掌握根本组合逻辑电路的实现方法。二、 实验原理本实验中描述的是一个可综合的二选一开关,它的功能是当sel = 0时,给出out = a,否如此给出结果out = b。在Verilog HDL中,描述组合逻辑时常使用assign结构。equal=(a=b)?1:0是一种在组合逻辑实现分支判断时常用的格式。parameter定义的size参数决定位宽。测试模块用于检测模块设计的是否正确,它给出模块的输入信号,观察模块的内部信号和输出信号。三、 源代码module scale_mux(out,sel,b,a);parameter size=1;outputsize-1:0 out;inputsize-1:0b,a;input sel;assign out = (!sel)?a: (sel)?b: size1bx;endmoduledefine width 8timescale 1 ns/1 nsmodule mux_test; regwidth:1a,b; w

      2、irewidth:1out; reg sel; scale_mux#(width)m1(.out(out),.sel(sel),.b(b),.a(a); initial begin $monitor($stime,sel=%b a=%b b=%b out=%b,sel,a,b,out); $dumpvars(2,mux_test); sel=0;b=width1b0;a=width1b1; #5sel=0;b=width1b1;a=width1b0; #5sel=1;b=width1b0;a=width1b1; #5sel=1;b=width1b1;a=width1b0; #5 $finish; endendmodule四、 仿真结果与波形LAB 2:简单时序逻辑电路的设计一、 实验目的掌握根本时序逻辑电路的实现。二、 实验原理在Verilog HDL中,相对于组合逻辑电路,时序逻辑电路也有规定的表述方式。在可综合的Verilog HDL模型中,我们常使用always块和(posedge clk)或(negedge clk)的结构来表述时序逻辑。在always块中,被赋值的信号都必须定

      3、义为reg型,这是由时序逻辑电路的特点所决定的对于reg型数据,如果未对它进展赋值,仿真工具会认为它是不定态。为了正确地观察到仿真结果,在可综合的模块中我们通常定义一个复位信号rst-,当它为低电平时对电路中的存放器进展复位。三、 源代码timescale 1 ns/100 psmodule counter(t,clk,data,rst_,load);output4:0t ;input 4:0data;input clk;input rst_;input load;reg 4:0t; always(posedge clk or negedge rst_) if(!rst_) #1.2 t=0; else if(load) t=#3 data; else t=#4 t + 1; endmoduletimescale 1 ns/1 nsmodule counter_test; wire4:0t; reg 4:0data; reg rst_; reg load; reg clk; counter c1 ( .t (t), .clk (clk), .data(data), .rst_(rst_

      4、), .load(load) ); initial begin clk=0; forever begin #10 clk=1b1; #10 clk=1b0; end endinitial begin $timeformat(-9,1,ns,9); $monitor(time=%t,data=%h,clk=%b,rst_=%b,load=%b,t=%b, $stime,data,clk,rst_,load,t); $dumpvars(2,counter_test); endtask expect;input 4:0expects; if(t !=expects)begin $display(At time %t t is %b and should be %b, $time,t,expects); $display(TEST FAILED); $finish; endendtaskinitial begin (negedge clk) rst_,load,data=7b0_X_XXXXX;(negedge clk)expect(5h00); rst_,load,data=7b1_1_11

      5、101;(negedge clk)expect(5h1D); rst_,load,data=7b1_0_11101; repeat(5)(negedge clk); expect(5h02); rst_,load,data=7b1_1_11111;(negedge clk)expect(5h1F); rst_,load,data=7b0_X_XXXXX;(negedge clk)expect(5h00); $display(TEST PASSED); $finish; endendmodule四、 仿真结果与波形五、 思考题该电路中,rst-是同步还是异步清零端?在counter.v的always块中reset没有等时钟,而是直接清零。所以是异步清零端。LAB 3:简单时序逻辑电路的设计一、 实验目的使用预定义的库元件来设计八位存放器。二、 实验原理八位存放器中,每一位存放器由一个二选一MUX和一个触发器dffr组成,当load=1,装载数据;当load=0,存放器保持。对于处理重复的电路,可用数组条用的方式,使电路描述清晰、简洁。三、 源代码timescale 1 ns /1 nsm

      6、odule clock(clk);reg clk;output clk;initial beginclk=0;forever begin#10 clk=1b1;#10 clk=1b0;endendendmodulemux与dffr模块调用代码mux mux7(.out(n17),.sel(load),.b(data7),.a(out7);dffr dffr7(.q(out7), .d(n17), .clk(clk), .rst_(rst_);mux mux6(.out(n16),.sel(load),.b(data6),.a(out6);dffr dffr6(.q(out6), .d(n16), .clk(clk), .rst_(rst_);mux mux5(.out(n15),.sel(load),.b(data5),.a(out5);dffr dffr5(.q(out5), .d(n15), .clk(clk), .rst_(rst_);mux mux4(.out(n14),.sel(load),.b(data4),.a(out4);dffr dffr4(.q(out4), .d(

      7、n14), .clk(clk), .rst_(rst_);mux mux3(.out(n13),.sel(load),.b(data3),.a(out3);dffr dffr3(.q(out3), .d(n13), .clk(clk), .rst_(rst_);mux mux2(.out(n12),.sel(load),.b(data2),.a(out2);dffr dffr2(.q(out2), .d(n12), .clk(clk), .rst_(rst_);mux mux1(.out(n11),.sel(load),.b(data1),.a(out1);dffr dffr1(.q(out1), .d(n11), .clk(clk), .rst_(rst_);mux mux0(.out(n10),.sel(load),.b(data0),.a(out0);dffr dffr0(.q(out0), .d(n10), .clk(clk), .rst_(rst_);例化存放器register r1(.data(data),.out(out),.load(load),.clk(clk),.rst_(rst_);例化时钟clock c1(.clk(clk);

      《北邮电子院专业实验报告材料》由会员re****.1分享,可在线阅读,更多相关《北邮电子院专业实验报告材料》请在金锄头文库上搜索。

      点击阅读更多内容
    最新标签
    监控施工 信息化课堂中的合作学习结业作业七年级语文 发车时刻表 长途客运 入党志愿书填写模板精品 庆祝建党101周年多体裁诗歌朗诵素材汇编10篇唯一微庆祝 智能家居系统本科论文 心得感悟 雁楠中学 20230513224122 2022 公安主题党日 部编版四年级第三单元综合性学习课件 机关事务中心2022年全面依法治区工作总结及来年工作安排 入党积极分子自我推荐 世界水日ppt 关于构建更高水平的全民健身公共服务体系的意见 空气单元分析 哈里德课件 2022年乡村振兴驻村工作计划 空气教材分析 五年级下册科学教材分析 退役军人事务局季度工作总结 集装箱房合同 2021年财务报表 2022年继续教育公需课 2022年公需课 2022年日历每月一张 名词性从句在写作中的应用 局域网技术与局域网组建 施工网格 薪资体系 运维实施方案 硫酸安全技术 柔韧训练 既有居住建筑节能改造技术规程 建筑工地疫情防控 大型工程技术风险 磷酸二氢钾 2022年小学三年级语文下册教学总结例文 少儿美术-小花 2022年环保倡议书模板六篇 2022年监理辞职报告精选 2022年畅想未来记叙文精品 企业信息化建设与管理课程实验指导书范本 草房子读后感-第1篇 小数乘整数教学PPT课件人教版五年级数学上册 2022年教师个人工作计划范本-工作计划 国学小名士经典诵读电视大赛观后感诵读经典传承美德 医疗质量管理制度 2
    关于金锄头网 - 版权申诉 - 免责声明 - 诚邀英才 - 联系我们
    手机版 | 川公网安备 51140202000112号 | 经营许可证(蜀ICP备13022795号)
    ©2008-2016 by Sichuan Goldhoe Inc. All Rights Reserved.