电子文档交易市场
安卓APP | ios版本
电子文档交易市场
安卓APP | ios版本

基于FPGA的多功能温度控制器设计

38页
  • 卖家[上传人]:汽***
  • 文档编号:471337346
  • 上传时间:2023-07-22
  • 文档格式:DOC
  • 文档大小:670.50KB
  • / 38 举报 版权申诉 马上下载
  • 文本预览
  • 下载提示
  • 常见问题
    • 1、北华航天工业学院毕业论文摘 要本论文所设计的是一个基于FPGA的多功能数字温度控制器。本设计克服了传统数字温度计精度低的缺点,并且除了传统温度计的测量温度功能外还具有一定的控制功能,能更好的对所测量的温度进行处理,控制模块的加入让其比传统温度计具有更强的实用性。本设计采用EDA技术自上而下的设计思路,对系统的结构划分为温度采集模块、温度显示模块、输入数据对比模块,输出控制模块。在Quartus II软件下应用VHDL语言进行电路设计并仿真,根据仿真的结果。该方案能够较好的实现测温功能并且能对温度进行有效的控制。关键字:温度控制;FPGA;VHDL;I北华航天工业学院毕业论文AbstractDesigned by this paper is a multifunctional digital temperature controller based on FPGA. This design overcomes the drawback of traditional digital thermometer low accuracy, and in addition to the tradi

      2、tional thermometer temperature function also has the certain control function, can better handle and on the measured temperature control module to join its than traditional thermometer has stronger practicability. This design adopts the top-down design EDA technology, the structure of system is divided into temperature acquisition module, display module, the input data contrast module, output control module. Under the Quartus II software circuit design and simulation using VHDL language, accordi

      3、ng to the result of simulation. The scheme can achieve a better temperature measurement function and can carry on the effective control of temperature.Keyords: Temperature control FPGA VHDL IV目 录第1章 绪论11.1 课题背景及国内外研究概况11.2 课题相关技术发展11.3 课题研究的必要性11.4 课题研究的主要内容21.5 课题所设计的温度控制器的优点2第2章 FPGA的简介32.1 FPGA的概述32.2 FPGA的基本结构32.3 FPGA系统设计流程52.4 FPGA开发编程原理6第3章 DS18B20温度传感器简介83.1 传统温度采集器件的简述83.2 DS18B20的引脚83.3 DS18B20内部结构83.4 DS18B20的时序103.5 DS18B20的工作原理113.6 DS18B20的性能特点123.7 DS18B20使用过程中的注意事项12第4章 QUARTERS

      4、II软件简介134.1 Quartus II软件概况134.2 软件界面简介134.3 QuartusII的设计流程14第5章 温度控制器的设计总流程175.1 温度控制器系统结构图175.2 DS18B20温度采集模块的驱动设计175.3 FPGA温度显示模块的设计195.4 FPGA数据比较模块的设计195.5 FLEX 10K开发箱上的下载20第6章 结论22附 录23附录123基于FPGA的多功能温度控制器设计第1章 绪论1.1 课题背景及国内外研究概况温度控制无论是在工业生产过程中,还是在日常生活中都起着非常重要的作用,而在当今,我国农村锅炉取暖,农业大棚,养鸡场内等多数都没有实用的温度控制系统,还有部分厂矿,企业还一直沿用简单的温度设备和纸质数据记录仪,无法实现温度数据的实时测量与控制。随着社会经济的高速发展,越来越多的生产部门和生产环节对温度控制精度的可靠性和稳定性等有了更高的要求,而且随着人们日常生活的不断提高,传统的温度控制器越来越不能满足人们生活中的需要并且传统温度控制器的精度也已经不能满足对温度要求较为苛刻的生产环节。1.2 课题相关技术发展当今电子产品正向功能多

      5、元化、体积最小化、功耗最低化的方向发展。现在的电子产品在设计上与传统的电子产品相比较,显著的区别在于其大量地使用了大规模可编程逻辑器件,使产品的性能提高,体积缩小,功耗降低。同时广泛运用了现代计算机技术,提高产品的自动化程度和竞争力,缩短研发周期。EDA技术正是为了适应现代电子技术的要求,吸收众多学科最新科技成果而形成的一门新技术。美国ALTERA公司的可编程逻辑器件采用全新的结构和先进的技术,加上或最新的QUARTUS II开发环境,更具有高性能,开发周期短等特点,十分方便进行电子产品的开发和设计。EDA技术以大规模可编程逻辑器件为设计载体,以硬件描述语言为系统逻辑描述主要表达方式,以计算机、大规模可编程逻辑器件的开发软件及实验开发系统为设计工具,通过有关的开发软件,自动完成用软件的方式设计电子系统到硬件系统的逻辑编译,逻辑化简,逻辑分割,逻辑映射,编程下载等工作。最终形成集成电子系统或专用集成芯片的一门新技术。1.3 课题研究的必要性随着社会的不断发展,新产品、新技术层出不穷,电子技术的发展更是日新月异。可以毫不夸张的说,电子技术的应用无处不在,电子技术正在不断地改变着我们的生活,

      6、改变着我们的世界。近些年,随着科技的发展和社会的进步,人们对温度控制器的要求也越来越高,不管在哪里,人们都想知道此刻的温度和天气状况等一些信息,传统的温度控制器由于它的局限性以及不方便性,已不能满足人们的需求。温度控制器需要一次革命,不管是在性能还是在样式上都将发生质的变化,于是数字温度控制器的时代悄然来临了。1.4 课题研究的主要内容本设计主要研究的是基于FPGA的数字温度控制器,要求温度采集准确精确,并且能够自行设定阈值温度。当温度超过阈值温度后,FPGA启动控制功能,根据实际需要驱动控制器件,实现对温度的调节。1.5 课题所设计的温度控制器的优点(1) 读数快且不用估读。数显温度计读数特别快, 因为只要将显示器上的数字读出即可, 不用估读, 节省时间。(2) 测量的精度高。因为能读出0.01 , 比用精度为1或0.1的温度计测量精度大大提高。(3) 测量时间短。数显温度计的热容量小,达到热平衡所需的时间短, 对待测物体的温度影响小。(4) 电路简单。本测温系统的电路很简单, 所用的原件少, 且造价很低。(5) 测温区域宽。比一般的温度计测量温度的区域宽。(6) 可进行远距离测量。

      7、将本温度控制器的导线延长, 完全可进行远距离的测量。(7) 可进行自动控制。与计算机联网, 可进行自动测温、自动控温测量。(8) 应用广。该温度控制器可用于所有的测温场合,不受其他的条件限制。(9) 灵活性强。可根据实际的需要替换元件后便能控制不同的器件。第2章 FPGA的简介2.1 FPGA的概述FPGA是现场可编程门阵列(Field Programmable Gate Array)的简称,与之相应的CPLD是复杂可编程逻辑器件(Complex Programmable Logic Device)的简称,两者的功能基本相同,只是实现原理略有不同,所以有时可以忽略这两者的区别,统称为可编程逻辑器件或CPLD/PGFA。CPLD/PGFA几乎能完成任何数字器件的功能,上至高性能CPU,下至简单的74电路。它如同一张白纸或是一堆积木,工程师可以通过传统的原理图输入或硬件描述语言自由的设计一个数字系统。通过软件仿真可以事先验证设计的正确性,在PCB完成以后,利用CPLD/FPGA的在线修改功能,随时修改设计而不必改动硬件电路。使用CPLA/FPGA开发数字电路,可以大大缩短设计时间,减少PC

      8、B面积,提高系统的可靠性。这些优点使得CPLA/FPGA技术在20世纪90年代以后得到飞速的发展,同时也大大推动了EDA软件和硬件描述语言VHDL的进步。2.2 FPGA的基本结构FPGA具有掩膜可编程门阵列的通用结构,它由逻辑功能块排成阵列,并由可编程的互连资源连接这些逻辑功能块来实现不同的设计。FPGA一般由3种可编程电路和一个用于存放编程数据的静态存储器SRAM组成。这3种可编程电路是:可编程逻辑模块、输入/输出模块(IOB-I/O Block)和互连资源。可编程逻辑模块CLB是实现逻辑功能的基本单元,它们通常规则的排列成一个阵列,散布于整个芯片;可编程输入/输出模块(IOB)主要完成芯片上的逻辑与外部封装脚的接口,它通常排列在芯片的四周;可编程互连资源包括各种长度的连接线段和一些可编程连接开关,它们将各个CLB之间或CLB、IOB之间以及IOB之间连接起来,构成特定功能的电路。(1) CLB是FPGA的主要组成部分。图2-1是CLB基本结构框图,它主要由逻辑函数发生器、触发器、数据选择器等电路组成。CLB中3个逻辑函数发生器分别是G、F和H,相应的输出是G、F和H。G有4个输入

      9、变量G1、G2、G3和G4;F也有4个输入变量F1、F2、F3和F4。这两个函数发生器是完全独立的,均可以实现4输入变量的任意组合逻辑函数。逻辑函数发生器H有3个输入信号;前两个是函数发生器的输出G和F,而另一个输入信号是来自信号变换电路的输出H1。这个函数发生器能实现3输入变量的各种组合函数。这3个函数发生器结合起来,可实现多达9变量的逻辑函数。CLB中有许多不同规格的数据选择器(四选一、二选一等),通过对CLB内部数据选择器的编程,逻辑函数发生器G、F和H的输出可以连接到CLB输出端X或Y,并用来选择触发器的激励输入信号、时钟有效边沿、时钟使能信号以及输出信号。这些数据选择器的地址控制信号均由编程信息提供,从而实现所需的电路结构。CLB中的逻辑函数发生器F和G均为查找表结构,其工作原理类似于ROM。F和G的输入等效于ROM的地址码,通过查找ROM中的地址表可以得到相应的组合逻辑函数输出。另一方面,逻辑函数发生器F和G还可以作为器件内高速RAM或小的可读写存储器使用,它由信号变换电路控制。(2) 输入/输出模块IOB。IOB提供了器件引脚和内部逻辑阵列之间的连接。它主要由输入触发器、输入缓冲器和输出触发/锁存器、输出缓冲器组成。每个IOB控制一个引脚,它们可被配置为输入、输出或双向I/O功能。当IOB控制的引脚被定义为输入时,通过该引脚的输入信号先送入输入缓冲器。缓冲器的输出分成两路:一路可以直接送到MUX,另一路经延时几纳秒(或者不延时)送到输入通路D触发器,再送到数据选择器。通过编程给数据选择器不同的控制信息,确定送至CLB阵列的I1和I2是来自输入缓冲器,还是来自触发器。图2-1 CLB基本结构当IOB控制的引脚被定义为输出时,CLB阵列的输出信号OUT也可以有两条传输途径:一条是直接经

      《基于FPGA的多功能温度控制器设计》由会员汽***分享,可在线阅读,更多相关《基于FPGA的多功能温度控制器设计》请在金锄头文库上搜索。

      点击阅读更多内容
    最新标签
    监控施工 信息化课堂中的合作学习结业作业七年级语文 发车时刻表 长途客运 入党志愿书填写模板精品 庆祝建党101周年多体裁诗歌朗诵素材汇编10篇唯一微庆祝 智能家居系统本科论文 心得感悟 雁楠中学 20230513224122 2022 公安主题党日 部编版四年级第三单元综合性学习课件 机关事务中心2022年全面依法治区工作总结及来年工作安排 入党积极分子自我推荐 世界水日ppt 关于构建更高水平的全民健身公共服务体系的意见 空气单元分析 哈里德课件 2022年乡村振兴驻村工作计划 空气教材分析 五年级下册科学教材分析 退役军人事务局季度工作总结 集装箱房合同 2021年财务报表 2022年继续教育公需课 2022年公需课 2022年日历每月一张 名词性从句在写作中的应用 局域网技术与局域网组建 施工网格 薪资体系 运维实施方案 硫酸安全技术 柔韧训练 既有居住建筑节能改造技术规程 建筑工地疫情防控 大型工程技术风险 磷酸二氢钾 2022年小学三年级语文下册教学总结例文 少儿美术-小花 2022年环保倡议书模板六篇 2022年监理辞职报告精选 2022年畅想未来记叙文精品 企业信息化建设与管理课程实验指导书范本 草房子读后感-第1篇 小数乘整数教学PPT课件人教版五年级数学上册 2022年教师个人工作计划范本-工作计划 国学小名士经典诵读电视大赛观后感诵读经典传承美德 医疗质量管理制度 2
    关于金锄头网 - 版权申诉 - 免责声明 - 诚邀英才 - 联系我们
    手机版 | 川公网安备 51140202000112号 | 经营许可证(蜀ICP备13022795号)
    ©2008-2016 by Sichuan Goldhoe Inc. All Rights Reserved.