电子文档交易市场
安卓APP | ios版本
电子文档交易市场
安卓APP | ios版本

EDA实验 基于Libero的数字逻辑设计仿真及验证实验

40页
  • 卖家[上传人]:博****1
  • 文档编号:469466508
  • 上传时间:2023-01-27
  • 文档格式:DOCX
  • 文档大小:758.26KB
  • / 40 举报 版权申诉 马上下载
  • 文本预览
  • 下载提示
  • 常见问题
    • 1、计算机学院计算机科学与技术专业14级1班学号3114005811姓名梁智斌教师评定实验题目基于Libero的数字逻辑设计仿真及验证实验1、熟悉EDA工具的使用;仿真基本门电路。2、仿真组合逻辑电路。3、仿真时序逻辑电路。4、基本门电路、组合电路和时序电路的程序烧录及验证。5、数字逻辑综合设计仿真及验证。实验报告1、基本门电路一、实验目的1、了解基于Verilog的基本门电路的设计及其验证。2、熟悉利用EDA工具进行设计及仿真的流程。3、学习针对实际门电路芯片74HC00、74HC02、74HC04、74HC08、74HC32、74HC86进行VerilogHDL设计的方法。二、实验环境Libero仿真软件。三、实验内容1、掌握Libero软件的使用方法。2、进行针对74系列基本门电路的设计,并完成相应的仿真实验。3、参考教材中相应章节的设计代码、测试平台代码(可自行编程)完成74HC00、74HC02、74HC04、74HC08、74HC32、74HC86相应的设计、综合及仿真。4、提交针对74HC00、74HC02、74HC04、74HC08、74HC32、74HC86(任选一个)的

      2、综合结果,以及相应的仿真结果。四、实验结果和数据处理1、所有模块及测试平台代码清单/74HC00代码-与非moduleHC00(A,B,Y);input4:1A,B;output4:1Y;assignY=(A&B);/与非endmodule/74HC00测试平台代码timescalelns/lnsmoduletestbench();reg4:la,b;lwire4:1y;HC00u1(a,b,y);initialbegina=4b0000;b=4b0001;#10b=b1;#10b=b1;#10b=b1;a=4b1111;b=4b0001;#10b=b1;#10b=b1;#10b=b1;endendmodule/74HC02代码-或非modulehc02(A,B,Y);inputA,B;outputY;assignY=(AIB);/Z或非endmodule/74HC02测试平台代码timescalelns/lnsmoduletest74hc02;rega,b;wirey;hc02u02(a,b,y);initialbegin#20a=0;b=0;#20a=l;#20b=l;#20b=0

      3、;endendmodule/74HC04代码-非modulehc04(A,Y);inputA;outputY;assignY=A;endmodule/74HC04测试平台代码timescalelns/lnsmoduletest74hc04;rega;wirey;hc04u04(a,y);initialbegin#20a=0;#20a=l;endendmodule/74HC08代码-与moduleHC08(A,B,Y);inputA,B;outputY;assignY=A&B;endmodule/74HC08测试平台代码timescalelns/lnsmoduletest74HC08;rega,b;wirey;HC08u08(a,b,y);initialbegin#20a=0;b=0;#20b=l;#20a=l;#20b=0;endendmodule/74HC32代码-或moduleHC32(A,B,Y);inputA,B;outputY;assignY=A|B;endmodule/74HC32测试平台代码timescalelns/lnsmoduletest74HC32;rega,b;w

      4、irey;HC32u32(a,b,y);initialbegin#20a=0;b=0;#20b=1;#20a=1;#20b=0;endendmodule/74HC86代码-异或moduleHC86(A,B,Y);inputA,B;outputY;assignY=(A&B)|(B&A);endmodule/74HC86测试平台代码timescalelns/lnsmoduletest74HC86;rega,b;wirey;HC86u86(a,b,y);initialbegin#20a=0;bEfCTrmHa.cjwwnnmMTi1.*hihiup*rircncwi$one-甲3暮中4中算鸟嗨AP乃笔4、第二次仿真结果(综合后)(截图)。回答输出信号是否有延迟,延迟时间约为多少?答:信号存在延时,延时约为0.3ns5、第三次仿真结果(布局布线后)(截图)。回答输出信号是否有延迟,延迟时间约为多少?分析是否有出现竞争冒险。i庁丄七盂直巳勺仝黑匚电左凸衣叵劭丰亡上上色,订_a独氏囊致乞itjr_rtInilL!IZwINph:Iu答:信号存在延时,延时约为4.2存在竞争冒险#2、组合逻辑电路一、

      5、实验目的1、了解基于Verilog的组合逻辑电路的设计及其验证。2、熟悉利用EDA工具进行设计及仿真的流程。3、学习针对实际组合逻辑电路芯片74HC148、74HC138、74HC153、74HC85、74HC283、74HC4511进行VerilogHDL设计的方法。二、实验环境Libero仿真软件。三、实验内容1、掌握Libero软件的使用方法。2、进行针对74系列基本组合逻辑电路的设计,并完成相应的仿真实验。3、参考教材中相应章节的设计代码、测试平台代码(可自行编程),完成74HC148、74HC138、74HC153、74HC85、74HC283、74HC4511相应的设计、综合及仿真。4、74HC85测试平台的测试数据要求:进行比较的A、B两数,分别为本人学号的末两位,如“89”,则A数为“1000”,B数为“1001”。若两数相等,需考虑级联输入(级联输入的各种取值情况均需包括);若两数不等,则需增加一对取值情况,验证A、B相等时的比较结果。5、74HC4511设计成扩展型的,即能显示数字09、字母af。6、提交针对74HC148、74HC138、74HC153、74HC8

      6、5、74HC283、74HC4511(任选一个)的综合结果,以及相应的仿真结果。四、实验结果和数据处理1、所有模块及测试平台代码清单/74HC148代码moduleHC148(DataIn,EO,EI,GS,Dataout);input7:0DataIn;inputEI;outputGS;outputEO;output2:0Dataout;reg2:0Dataout;regEO;regGS;integerI;always(DataInorEI)beginif(EI)beginDataout=7;EO=1;GS=1;endelseif(DataIn=8b11111111)beginDataout=7;EO=0;GS=1;endelsebeginfor(I=0;I8;I=I+1)if(DataInI)beginDataout=I;EO=1;GS=0;endendendendmodule/74HC148测试平台代码timescalelns/10psmoduletest74HC148;reg7:0in;regei;wireeo;wiregs;wire2:0out;HC148u148(in,eo

      7、,ei,gs,out);initialbeginei=1;#10ei=0;#10in=255;#10in=8b11111110;repeat(8)#10in=in1;endendmodule/74HC138代码moduledecoder138(DataIn,E1,E2,E3,Dataout);input2:0DataIn;inputE1;inputE2;inputE3;output7:0Dataout;reg7:0Dataout;always(DataInorE1orE2orE3)if(E1=1|E2=1|E3=0)Dataout=255;elseDataout=(1b1DataIn);endmodule/74HC138测试平台代码timescalelns/10psmoduletest74HC138;reg2:0in;rege1;rege2;rege3;wire7:0out;decoder138u(in,e1,e2,e3,out);initialbeginin=0;repeat(10)#20in=$random;endinitialbegine1=1;#20e1=0;endinitia

      8、lbegine2=1;#40e2=0;endinitialbegine3=0;#60e3=1;endendmodule/74HC153代码moduleHC153(S1,S0,n0,n1,n2,n3,nE,nY);inputS1,S0,nE;inputn0,n1,n2,n3;outputnY;regnY;always(S1orS0orn0orn1orn2orn3ornE)if(nE)nY=0;elsecase(S1,S0)0:nY=n0;1:nY=n1;2:nY=n2;3:nY=n3;endcaseendmodule/74HC153测试平台代码timescalelns/10psmoduletest74HC153;regS1,S0,n0,n1,n2,n3,nE;wirenY;integerI;HC153u153(S1,S0,n0,n1,n2,n3,nE,nY);initialbeginnE=1;n0=0;n1=0;n2=0;n3=0;#10nE=0;endinitialfor(I=0;I4;I=I+1)#10S1,S0=I;initialbegin#15n0=1;#10n1=1;#10n2=1;#10n3=1;endendmodule/74HC85代码moduleHC8

      《EDA实验 基于Libero的数字逻辑设计仿真及验证实验》由会员博****1分享,可在线阅读,更多相关《EDA实验 基于Libero的数字逻辑设计仿真及验证实验》请在金锄头文库上搜索。

      点击阅读更多内容
    最新标签
    监控施工 信息化课堂中的合作学习结业作业七年级语文 发车时刻表 长途客运 入党志愿书填写模板精品 庆祝建党101周年多体裁诗歌朗诵素材汇编10篇唯一微庆祝 智能家居系统本科论文 心得感悟 雁楠中学 20230513224122 2022 公安主题党日 部编版四年级第三单元综合性学习课件 机关事务中心2022年全面依法治区工作总结及来年工作安排 入党积极分子自我推荐 世界水日ppt 关于构建更高水平的全民健身公共服务体系的意见 空气单元分析 哈里德课件 2022年乡村振兴驻村工作计划 空气教材分析 五年级下册科学教材分析 退役军人事务局季度工作总结 集装箱房合同 2021年财务报表 2022年继续教育公需课 2022年公需课 2022年日历每月一张 名词性从句在写作中的应用 局域网技术与局域网组建 施工网格 薪资体系 运维实施方案 硫酸安全技术 柔韧训练 既有居住建筑节能改造技术规程 建筑工地疫情防控 大型工程技术风险 磷酸二氢钾 2022年小学三年级语文下册教学总结例文 少儿美术-小花 2022年环保倡议书模板六篇 2022年监理辞职报告精选 2022年畅想未来记叙文精品 企业信息化建设与管理课程实验指导书范本 草房子读后感-第1篇 小数乘整数教学PPT课件人教版五年级数学上册 2022年教师个人工作计划范本-工作计划 国学小名士经典诵读电视大赛观后感诵读经典传承美德 医疗质量管理制度 2
    关于金锄头网 - 版权申诉 - 免责声明 - 诚邀英才 - 联系我们
    手机版 | 川公网安备 51140202000112号 | 经营许可证(蜀ICP备13022795号)
    ©2008-2016 by Sichuan Goldhoe Inc. All Rights Reserved.