电子文档交易市场
安卓APP | ios版本
电子文档交易市场
安卓APP | ios版本

电子技术基础课程设计-基于FPGA的出租车计费器设计与实现

15页
  • 卖家[上传人]:夏**
  • 文档编号:465244954
  • 上传时间:2022-09-19
  • 文档格式:DOC
  • 文档大小:577.50KB
  • / 15 举报 版权申诉 马上下载
  • 文本预览
  • 下载提示
  • 常见问题
    • 1、华中科技大学课程设计报告题 目: 基于FPGA的出租车计费器设计与实现 全套设计加扣 3346389411或3012250582 院 系: 自动化学院 专业班级: 自动化1104班 学生姓名: 2014年 3 月 16 日目 录一 课程设计任务及要求21.1 任务说明21.2 模块设计说明2二 课程设计报告要求3三 课程设计说明33.1 模块功能说明33.2 设计流程图43.3 顶层原理图4四、实验结果5五、总结和改进5 一 课程设计任务及要求1.1 任务说明1) 能实现计费功能,计费标准为:按行驶里程收费,起步费为5.00元,起步3公里内不计费,车行超过3公里后再按4元/公里收费,车停止不计费。2) 设计显示电路:将车费及行车里程显示出来。里程表每100米跳动一次,计费器按每100米计费一次。3) 实现预置功能:能预置起步费、每公里收费、车行加费里程。4) 实现模拟功能:能模拟汽车启动、停止、暂停、等状态,能模拟调节测速。5) 用Verilog HDL语言设计符合上述功能要求的出租车计费器,并用层次化设计方法设计该电路。6) 完成电路全部设计后,通过在DE0开发板上下载实验以验证设计

      2、的正确性。1.2 模块设计说明1) 车速控制模块当起停键为启动状态时(高电平),模块根据车速选择和基本车速发出响应频率的脉冲驱动计费器和里程显示模块进行计数;当处于停止状态时暂停发出脉冲,此时计费器和里程显示模块相应的停止计数。2) 里程动态显示模块其包括计量车速控制模块发出的脉冲以及将计数显示动态显示出来,每来一个脉冲里程值加0.1(控制器每发一个脉冲代表运行了0.1公里)。3) 计费动态显示模块其初值为5元,当里程超过3公里后才接受计数车速控制模块发出的脉冲的驱动,并且计数显示动态显示出来,每一个脉冲(代表运行了0.1公里),计费值增加0.4元。二 课程设计报告要求1) 画出顶层原理图;2) 用Verilog HDL语言设计各子模块;3) 叙述各子模块和顶层原理图的工作原理;4) 给出各模块和顶层原理图的仿真波形图;5) 给出硬件测试流程和结果。三 课程设计说明3.1 模块功能说明实际设计包含8个模块,分别为时钟分频模块、车速控制模块、车速选择模块、里程计量模块、计费模块、显示选择模块、显示模块以及车辆启停模块,其详细功能如下:6) 时钟分频模块:由于DE0板载有源晶振的频率为50

      3、MHz,为了方便使用,对其先进行50000分频,产生1KHz时钟作为系统基础时钟。7) 车速控制模块:为了模拟车速调节,设计本模块。实际的出租车计费器通过检测车轮转动的频率来测量车速,车轮转动越快,车速越高,检测脉冲频率越高。本模块通过对系统基础时钟以不同的分频因子分频,得到不同频率的输出脉冲来实现车速控制。8) 车速选择模块:本模块为车速控制模块选择分频因子。其主要工作是完成两个轻触按键的键值检测,包含消除抖动处理。9) 里程计量模块:实际的出租车计费器通过对车轮转动的脉冲进行计数实现里程测量。本模块通过计量车速控制模块输出的脉冲数完成里程计量。10) 计费模块:根据车辆行进的里程,进行计费。计费公式:如果车辆行进里程3公里,则计5元;如果车辆行进里程3公里,则费用y=5+(s-3)*4,其中s为里程,y为费用。11) 显示选择模块:由于DE0开发板上仅有一组4位数码管,不能同时将里程数和费用显示出来,因此需要通过一个拨码开关来选择显示内容,拨码开关高电平时,显示里程数,低电平时显示费用。12) 显示模块:完成对显示结果的译码和显示。首先要将显示结果的每一个数位取出来,例如要显示“1

      4、5.6”,需要将其拆分为“1”、“5.”、“6”。然后需要将拆分出的结果译码为段式数码管的电平状态并输出。13) 车辆启停模块:使用拨码开关模拟车辆的启停状态。测量启动开始计量里程数和费用,当按下暂停后,停止计量里程和费用,取消暂停后,继续计费。当按下停止后,显示最终的里程和费用,再次启动时,里程和费用将清零并重新开始计量。3.2 设计流程图设计流程图如下所示:图1 设计流程图3.3 顶层原理图顶层原理图如图2所示:图2 顶层原理图四、实验结果通过Quartus II将sof文件下载到DE0开发板上,运行正常,与实验目标一致,可通过修改参数表中分频因子的大小来调节车速,以及起步价参数,每公里费用参数来调节收费标准。五、总结和改进总的来说本次试验是顺利的,过程中主要遇到了这几点问题:1、刚开始没有完全想好要用几个模块做,没画好流程图,所以模块数量显得有点多,许多模块显得有些不必要,如取整模块完全可以合并到计费模块中。2、对其中的管脚定义太过于随意,造成很大空间的浪费,而且在使用较早的芯片时出现管脚不足的现象,这和模块过多也有很大关系 。一些改进的想法:1、在本次设计中,假设车轮每转一圈为

      5、2米,刚好50分频,但是显然现实中不会刚好每圈2米,极可能出现有小数的分频,所以分频器需要改进,或者使用其他模块使得没100送一个脉冲。2、将里程数送到显示模块时,已经是经过取整,所以没有小数部分,但是里程模块的小数部分又不能和整数部分一起送到显示模块进行转化,所以需要想办法将小数和整数部分合并且不影响取整。3、可以再加上按时间收费的模块以便出租车在较长时间等待的过程中也能计费附:程序清单1、计费模块源码module cost_cal(cnt_val, cost_val);/ 需要计算parameter 15:0 UNIT_PRICE = 2;parameter 15:0 START_PRICE = 50;parameter 15:0 NO_COST_CNT = 30;input 15:0 cnt_val;output 15:0 cost_val;assign cost_val = (START_PRICE4) + (cnt_val(NO_COST_CNT4) ? 16d0 : (cnt_val-(NO_COST_CNT4) stop(0)/begin(1)/ cnt0-pause(0

      6、)/resume(1)always(posedge clk or negedge rst_n)beginif(!rst_n)begincnt_r = 16d0;endelsebeginif(ctrl1 = 1b0)/ stopbegincnt_r = 16d0;endelse if(ctrl1 = 1b1)/ beginbeginif(ctrl0 = 1b0)/ pausebegincnt_r = cnt_r;endelse if(ctrl0 = 1b1)/ resumebegincnt_r = cnt_r + 1b1;endendendend/ cnt_val_r dealalways(posedge clk or negedge rst_n)beginif(!rst_n)begincnt_val_r = 16d0;endelsebeginif(cnt_r != 16d0 | ctrl = 2b00)begincnt_val_r 4):(data_in24);endmodule5、车速控制模块源码module fq_ctrl(clk_in,rst_n,ctrl,clk_out,led_disp);parameter DIV_N1 = 100;parameter DIV_N2 = 100/2;parameter DIV_N3 = 100/3;parameter DIV_N4 = 100/4;parameter DIV_N5 = 100/5;input clk_in;input 1:0 ctrl;input rst_n;output clk_out;output reg4:0 led_disp;reg 31:0 clk_cnt;reg 2:0 speed_level;reg 31:0 div_n;/ speed_level dealalways(posedge clk_in or negedge rst_n)beginif(!rst_n)beginspeed_level = 3d1;endelsebeginif(ctrl != 2d0)beginif(ctrl = 2d1)beginif(speed_level = 3d5)beginspeed_level = 3d1;endelsebeginspeed_level = speed_le

      《电子技术基础课程设计-基于FPGA的出租车计费器设计与实现》由会员夏**分享,可在线阅读,更多相关《电子技术基础课程设计-基于FPGA的出租车计费器设计与实现》请在金锄头文库上搜索。

      点击阅读更多内容
    最新标签
    监控施工 信息化课堂中的合作学习结业作业七年级语文 发车时刻表 长途客运 入党志愿书填写模板精品 庆祝建党101周年多体裁诗歌朗诵素材汇编10篇唯一微庆祝 智能家居系统本科论文 心得感悟 雁楠中学 20230513224122 2022 公安主题党日 部编版四年级第三单元综合性学习课件 机关事务中心2022年全面依法治区工作总结及来年工作安排 入党积极分子自我推荐 世界水日ppt 关于构建更高水平的全民健身公共服务体系的意见 空气单元分析 哈里德课件 2022年乡村振兴驻村工作计划 空气教材分析 五年级下册科学教材分析 退役军人事务局季度工作总结 集装箱房合同 2021年财务报表 2022年继续教育公需课 2022年公需课 2022年日历每月一张 名词性从句在写作中的应用 局域网技术与局域网组建 施工网格 薪资体系 运维实施方案 硫酸安全技术 柔韧训练 既有居住建筑节能改造技术规程 建筑工地疫情防控 大型工程技术风险 磷酸二氢钾 2022年小学三年级语文下册教学总结例文 少儿美术-小花 2022年环保倡议书模板六篇 2022年监理辞职报告精选 2022年畅想未来记叙文精品 企业信息化建设与管理课程实验指导书范本 草房子读后感-第1篇 小数乘整数教学PPT课件人教版五年级数学上册 2022年教师个人工作计划范本-工作计划 国学小名士经典诵读电视大赛观后感诵读经典传承美德 医疗质量管理制度 2
    关于金锄头网 - 版权申诉 - 免责声明 - 诚邀英才 - 联系我们
    手机版 | 川公网安备 51140202000112号 | 经营许可证(蜀ICP备13022795号)
    ©2008-2016 by Sichuan Goldhoe Inc. All Rights Reserved.