电子文档交易市场
安卓APP | ios版本
电子文档交易市场
安卓APP | ios版本

四路数字抢答器数电课程设计

10页
  • 卖家[上传人]:鲁**
  • 文档编号:479803899
  • 上传时间:2023-11-16
  • 文档格式:DOC
  • 文档大小:1.10MB
  • / 10 举报 版权申诉 马上下载
  • 文本预览
  • 下载提示
  • 常见问题
    • 1、 课程设计成果说明书题 目: 四路数字抢答器设计 学生姓名: * 学 号: * 院 系: 船舶与海洋工程学院 班 级: A14电气1班 指导教师: 沈晓群 浙江海洋学院教务处年月9日1目 录论文封面1目录2一、 摘要3二、 总体方案的设计与实现3三、 单元电路的设计4 3.1、倒计时模块43.2、脉冲产生模块53.3、抢答模块63.4、小灯模块7四、 四路数字抢答器总电路图8五、 元件清单8六、 设计心得与体会9七、 参考文献9一、 摘要很多智力竞赛等的电视节目常常会用到抢答器来判断哪支参赛队伍抢先获得回答机会,从而引发众多节目效果。而本次课程设计,便是以此为原型设计四路数字抢答器。由主持人(HOST)控制抢答开始与重置复位按钮,而四支参赛选手(TEAM)分别控制其一个按钮在抢答开始后进行抢答。整个设计电路通过倒计时模块,脉冲产生模块,抢答模块,以及小灯模块组成,倒计时模块核心芯片为74HC190同步BCD码可逆计数器,使用两块74HC190来实现两位倒计时,再从数码管显示。脉冲产生模块利用555定时器产生稳定需要脉冲。抢答模块通过74HC175四输入D触发器以及一系列门电路实现抢答控

      2、制。二、 总体方案的设计与实现四路数字抢答器由四个基本模块组成:倒计时模块、脉冲产生模块、抢答模块和小灯模块。其原理框图如下:由原理框图:首先开始抢答时,主持人必须先将开始/复位开关打到开始处,且同时保证选手抢答开关未按下。然后由555定时器组成的脉冲产生模块电路为倒计时模块电路提供稳定的1Hz频率的方波脉冲信号,开始倒计时时,由预置的20s开始倒计时,两片74HC190计数器开始工作,并输出相应数码信号至两个4位数码管显示。在此时间内,选手可按抢答开关抢答,此时控制小灯绿灯亮红灯灭。选手抢答最先按下开关,将送入锁存器一个高电平信号,再通过众多门电路编码转换,并且反馈锁存信号,送入4位数码管显示抢答的组号(1.2.3.4)(无人抢答时显示为0),同时送入一个电平信号至倒计时模块中断倒计时,再送入小灯模块信号使绿灯灭红灯亮;若无人抢答,倒计时为零时由计数器借位端输出电平信号返回控制计数器使能端中断计数,并输入小灯模块,是红灯亮绿灯亮。当显示绿灯灭红灯亮,抢答有效,倒计时模块显示剩余时间,抢答模块显示抢答组号。直至主持人将开始/复位开关打至复位处,倒计时模块时间复位至20s,抢答器模块显示

      3、清零,复位抢答开关后即可再次进行下一轮抢答。三、 单元电路的设计3.1、倒计时模块模块电路图:该模块由两块74HC190同步BCD码可逆计数器,一块74HC08两输入与门,两块4位数码管以及一个开关组成。74HC190同步BCD码可逆计数器功能表如下:预置(PL)使能(E)逆正判断(D/U)时钟脉冲(CLK)功能HLL正计数HLH倒计数LXXX预置数HHXX保持由表可见:当预置(PL)端为低电平时,计数器不工作,输出预置数;当使能(E)端为高电平时,计数器不工作,保持前一状态;当逆正判断(D/U)端为高电平时,计数器倒计数工作;为低电平时,计数器正计数工作;因而,利用74HC190芯片的倒计数功能,可实现20s倒计时。U1作为十位数输出,U2作为个位数输出。首先十位数预置2(二进制为0010),则相应D0-D3端口分别对应为:D0-0,D1-1,D2-0,D3-0(其中1即接入+5V电源输入高电平,0即接地作低电平);同理个位数预置0(二进制为0000)即可。另外,U2的RCO端口接入U1的使能端口,使得当个位数为零时,RCO端口输出低电平,触发U1倒计时工作。逆正判断(D/U)端接高

      4、电平,使计数器倒计时工作。而两个芯片的预置(PL)端接出共接进一开关作整个电路的开始/复位开关,其原理为,当打到复位端,两芯片PL接地,输出预置数即20;当打到开始端,两芯片PL接+5V电源,计数器开始倒计时工作。为实现计数器倒计时为零自动停止,将两芯片TC端接入与门,判断当两芯片TC均输出高电平,则输出高电平,即均产生借位信号,送入U2使能端使其保持;此外输出还与抢答端输出结合一起送入U2使能端,即实现产生有效抢答即保持(中断计数)(详细于小灯模块叙述)。3.2脉冲产生模块模块电路图:该模块由一个555定时器,两个电容,两个电阻构成,从而达到输出1Hz脉冲信号。采用555定时器构成的多谐振荡器,使其产生需要的1Hz方波作为倒计时模块计数器的脉冲。而在抢答器模块中的74HC175四D触发器的脉冲,宜使用555定时器产生高频方波,使其能准确地锁存。电阻电容选择:根据555定时器构成的多谐振荡器电路的振荡周期约为T=(R3+2R4)C2ln2=0.7(R3+2R4)C2=1s即1Hz频率为使输出波形占空比约为50%,尽量使R3电阻大大于R4,选择好电阻再根据公式选择电容。3.3抢答模块模块

      5、电路图:该模块由一个74HC175四D触发器,四个开关,若干个门电路(包括双4输入与门,2输入与门,2输入或门和单输入非门)以及一个4位数码管构成。四个开关分别控制从四D触发器输入高电平信号,然后分别输出Q与Q信号即一个高电平一个低电平,然后通过门电路编码转换,输入到4位数码管中显示数码,因只有四组,即第四位常为低电平即可直接接地。状态功能表:(以数码管右端引脚开始四个脚输入标记为a,b,c,d)TEAM1TEAM2TEAM3TEAM4dcba显示000000000100000011010000102001000113000101004无人抢答时,输出为零,显示为0;有人抢答时,D触发器将数据送出同时通过门电路转换封锁触发器脉冲,使其他人不能抢答,送出数据经由门电路编码转换从数码管输出组号。最后,转换信号输入数码管同时再次经由门电路转换输出,并与倒计时模块结合输入计数器使能端控制计数中断,实现有效抢答时计数停止(详细于小灯模块叙述)。3.4小灯模块模块电路图:图1图2该模块主要是由两个小灯(红灯及绿灯),两个电阻,若干门电路构成,以实现以下功能:小灯区,接+5V电源和电阻,通过网路端口

      6、来输入高低电平信号达到亮灭,只有当输入低电平时小灯才会亮,否则不亮。根据倒计时模块两计数器借位端“与”运算输出(A)及抢答器模块转换输出(B),以及需要控制计时器计时中断使能端输入(Y),可得如下状态表及红绿等亮(B)灭(E)状态:ABY绿灯红灯LLLBELHHEBHLHBBHHHEB可得Y=A+B,那么倒计时模块两计数器借位端“与”运算输出(A)及抢答器模块转换输出(B)可通过一个74HC32二输入或门实现对需要控制的计时器计时中断使能端输入(Y),从而实现功能。而小灯方面:通过上表可知只要B为高电平,则绿灯为灭,即可在B输出处添加输入到绿灯网络端口,再添加非门即可实现绿灯按要求亮灭;而红灯灭时,Y为低电平,则可在Y输入处添加到红灯网络端口,即可实现红灯按要求亮灭。四、 四路数字抢答器总电路图五、 元件清单7SEG-BCD四位数码管374HC04非门174HC08二输入与门174HC20双4输入与非门174HC21双4输入与门374HC32二输入或门174HC175四D触发器174HC190同步BCD码可逆计数器2LED-GREEN LED绿灯1LED-RED LED红灯1SW-DP

      7、DT双相双闸开关1SW-SPST单相开关410uF电容110nF电容13K电阻168K电阻1200电阻2六、设计心得与体会本次课程设计,根据上学期数字电子计数所学的知识,以及参考网络书籍上的资料,还是比较成功的完成了四路数字抢答器的设计。首先是倒计时模块的设计,其主要芯片74HC190芯是根据参考资料查得具有同步BCD可逆计数功能而选用,其用法类似与数电学习中同步加法计数器的使用,对其借位,以及倒计时为零计数器中断计时(保持)也是通过查看网上关于该芯片功能用法以及使用Proteus仿真软件尝试得出所需要的接线方法。可以说从中让我找到自主学习与课上课下相结合的重要性,以及培养了我综合分析对未知的自主学习能力等。其次脉冲发生模块也是根据课本讲述关于555定时器内容,根据公式以及网络参考资料确定电阻电容值实现,1Hz方波脉冲信号的产生;抢答器模块,结合了书本关于触发器锁存器的介绍以及网络参考资料,还有自己的理解分析,一步步得出有关的方案,可以说这次课程设计真正地让我淋漓尽致地发挥了上学期数电所学的知识,以及尽其所用;小灯模块,通过数电的状态表图学习法,分析出各种情况下小灯的亮灭。不管过程有多复杂和困难,能一步步解决并能成功地完成设计要求,还是十分让我欣慰的,也使我对此产生了兴趣,也让我产生尝试其他电路的设计,并结合数电知识以外的知识,或者在现在我的设计上添加更多的新功能,或也许能够简化电路完善电路等等。这次的数电课程设计让我学习了很多,比如数电课上未介绍芯片的自我学习利用,电路综合设计分析,还有Proteus仿真软件的使用等等。虽课程设计将要结束,但未来还有很多的未知等我们去学习去创造。七、 参考文献1、康华光 电子技术基础 数字部分.高等教育出版社3、陈庭勋 数字电子技术实验指导书

      《四路数字抢答器数电课程设计》由会员鲁**分享,可在线阅读,更多相关《四路数字抢答器数电课程设计》请在金锄头文库上搜索。

      点击阅读更多内容
    最新标签
    监控施工 信息化课堂中的合作学习结业作业七年级语文 发车时刻表 长途客运 入党志愿书填写模板精品 庆祝建党101周年多体裁诗歌朗诵素材汇编10篇唯一微庆祝 智能家居系统本科论文 心得感悟 雁楠中学 20230513224122 2022 公安主题党日 部编版四年级第三单元综合性学习课件 机关事务中心2022年全面依法治区工作总结及来年工作安排 入党积极分子自我推荐 世界水日ppt 关于构建更高水平的全民健身公共服务体系的意见 空气单元分析 哈里德课件 2022年乡村振兴驻村工作计划 空气教材分析 五年级下册科学教材分析 退役军人事务局季度工作总结 集装箱房合同 2021年财务报表 2022年继续教育公需课 2022年公需课 2022年日历每月一张 名词性从句在写作中的应用 局域网技术与局域网组建 施工网格 薪资体系 运维实施方案 硫酸安全技术 柔韧训练 既有居住建筑节能改造技术规程 建筑工地疫情防控 大型工程技术风险 磷酸二氢钾 2022年小学三年级语文下册教学总结例文 少儿美术-小花 2022年环保倡议书模板六篇 2022年监理辞职报告精选 2022年畅想未来记叙文精品 企业信息化建设与管理课程实验指导书范本 草房子读后感-第1篇 小数乘整数教学PPT课件人教版五年级数学上册 2022年教师个人工作计划范本-工作计划 国学小名士经典诵读电视大赛观后感诵读经典传承美德 医疗质量管理制度 2
    关于金锄头网 - 版权申诉 - 免责声明 - 诚邀英才 - 联系我们
    手机版 | 川公网安备 51140202000112号 | 经营许可证(蜀ICP备13022795号)
    ©2008-2016 by Sichuan Goldhoe Inc. All Rights Reserved.