电子文档交易市场
安卓APP | ios版本
电子文档交易市场
安卓APP | ios版本

使用CPLDFPGA设计数字系统NHTIV实验指导书

129页
  • 卖家[上传人]:枫**
  • 文档编号:473482743
  • 上传时间:2023-08-11
  • 文档格式:DOC
  • 文档大小:16.19MB
  • / 129 举报 版权申诉 马上下载
  • 文本预览
  • 下载提示
  • 常见问题
    • 1、用我们的服务、产品提升您的竞争力!使用CPLD/FPGA设计数字系统NH-TIV实验指导书用我们的服务、产品提升您的竞争力!南京宁煌科技开发有限公司2002年5月目录NH-TIV型EDA实验开发系统3一:系统结构3二:系统使用说明31. NH-TIV系统简介32.下载板主要技术指标43.实验板主要技术指标54.单片机部分115.管脚锁定表126.跳线、地址开关使用说明20数字电路设计实验21实验一:七人表决器21实验二:格雷码变换电路22实验三:英文字符显示电路25实验四:基本触发器27实验五:74LS160计数器功能模块30实验六:步长可变的加减计数器32实验七:可控脉冲发生器34数字系统设计实验37实验一:正负脉宽可控信号发生器37实验二:序列检测器39实验三:四位移位乘法器42实验四:BCD码加法器43实验五:四位全加器45实验六:四位并行乘法器47实验七:数字电压表(A/D)49实验八:锯齿波发生器(D/A)52综合课程设计实验54实验一:出租车计费器54实验二:多功能数字钟61实验三:数字秒表69实验四:频率计75实验五:交通灯控制器82实验六:数字锁88实验七:乒乓球游戏机

      2、96实验八:全自动电梯控制电路104实验九:MCU、PLD系统114大规模复杂数字系统设计实验(资料)117实验一:PS/2键盘实验117实验二:VGA彩条信号实验118实验三:RS232串行通信实验119程序演示说明120NH-TIV型EDA实验开发系统附件箱 动态数码管 动态/静态数码管 地址开关 PS/2RS232VGAD/AA/D单片机 电平/脉冲输入 时钟信号 44键盘 单步脉冲 D/AA/D一:系统结构二:系统使用说明1. NH-TIV系统简介NH-TIV型EDA实验开发系统是南京宁煌科技开发有限公司开发的一种多功能、高配置、高品质的EDA教学与开发设备。适用于大学本科、研究生的EDA教学、课程设计和毕业设计,也适用于高等院校、科研院所做项目开发之用。NH-TIV型实验开发系统的PLD器件的I/O管脚与输入/输出器件采用固定连接。可以完成各种简单和复杂的数字电路设计实验。使学生的实验从传统的硬件连接调试转变成为软件设计、仿真调试、编程下载的实验模式。与采用连线方式的实验模式相比,可以节省实验时间,提高实验效率,并能降低实验故障率。NH-TIV型EDA实验开发系统采用了实验板

      3、+下载板结构,开发了包含有Lattice、Altera、XILINX、Atmel等世界著名半导体公司的ASIC芯片的NH系列下载板,可以完成各种数字可编程实验。同时,NH系列下载板可以结合单片机使用,完成可编程逻辑器件和单片机的联合实验。NH系列所有下载板均可同时使用。可同时进行单片机的在线仿真和可编程逻辑器件的在线编程,以便学生掌握CPLD/FPGA和 MCU相结合应用的有关知识。同时,可以对液晶显示器进行单独编程。可以适应各高等院校的教学、开发、科研等需要。下面将简要介绍下载板及实验板的技术指标及性能以及部分实验课题。下载板是实验系统的核心,板上配有目标用CPLD/FPGA芯片,实验中下载板插在系统实验板上,形成一个完整的实验系统。下载板上设有下载电路接口,使用通用通信电缆和计算机相连接。下载板设计中含有保护电路,提高系统安全性能。下载板配备有扩展接口,用户可以实现自由扩展。2.下载板主要技术指标下载板是实验系统的核心。可插在NH-TIV实验板上。下载板通过一根25芯并行电缆与计算机并行端口相连,由开发系统将设计文件下载、配置到下载板的CPLD/FPGA芯片之中。为适应不同PLD厂

      4、商及不同型号CPLD/FPGA芯片,设计了各种不同的下载板。NH-TIV型EDA实验开发系统共有配套的7种CPLD/FPGA下载板。 七种数字下载板的主要技术参数Altera系列:NH7128(采用Altera MAX系列CPLD芯片)NH10K10(采用Altera Flex10K系列FPGA芯片)NH10K30(采用Altera Flex10K系列FPGA芯片)芯片型号可用门宏单元可用I/O口编程次数EPM7128LC84250012864100芯片型号典型门系统门嵌入式阵列块可用I/O口EPF10K10LC841000031000359NH10K10下载板:板上配有Altera公司FPGA芯片:EPF10K10LC84。EPF10K10LC84资源:密度10000门;封装PLCC84;频率高于150MHZ;I/O口55个。 EDA开发软件:MAXPLUS II。NH7128下载板:板上配有Altera公司CPLD芯片:EPM7128SLC84。EPM7128SLC84资源:密度2500门;封装PLCC84;频率高于150MHZ;I/O口60个。 EDA开发软件:MAXPLUS I

      5、I。NH10K30下载板板上配有Altera公司FPGA芯片:EPF10K30RC208。EPF10K30RC208资源:密度30000门,封装PQFP208;频率高于100MHZ;I/O口147个。 EDA开发软件:MAXPLUS II。Xilinx系列:NH95108(采用Xilinx 9500系列CPLD芯片)NHXC10(采用Xilinx Spartan系列FPGA芯片)NHXC10下载板:板上配有Xilinx公司FPGA芯片:XCS10PC84。XCS10PC84资源:密度10000门;封装PLCC84;频率高于150MHZ;I/O口58个。 EDA开发软件:Foundation、Foundation ISE。NH95108下载板:板上配有Xilinx公司CPLD芯片:XC95108PC84。XC95108PC84资源:密度4800门;封装PLCC84;最高频率125MHZ;I/O口63个。 EDA开发软件:Foundation、Foundation ISE。Lattice系列:NH1032(采用Lattice 1032系列CPLD芯片)芯片型号可用门寄存器可用I/O口编程次

      6、数ispLSI 1032E60001926410000NH1032E下载板:板上配有Lattice公司CPLD芯ispLSI1032E-70LJ84。ispLSI1032资源:密度6000门;封装PLCC84;最高频率90MHz;I/O口:60个。 EDA开发软件:ispEXPERT。Atmel系列:ATF1504/ATF1508(采用Atmel 15系列CPLD芯片)芯片型号可用门宏单元可用I/O口编程次数ATF1504LC443000643210000 下载板的结构及其使用方法(1)下载板中央设计有可插拔的PLCC84封装的CPLD/FPGA芯片。(2)下载板右侧有一个DB25封装的插座(编程通信接口),通过一根25芯下载电缆将该插座与计算机并口接口相连,使用PLD厂商的开发软件完成下载、配置操作。(3)下载板上下两侧分别有双排焊点(正面)、双排插针(反面)和两个单独插针(定位用) 。焊点旁边的数字即为与CPLD/FPGA芯片相连管脚号,管脚号边的符号名为实验板上主要信号名。(4)上下两排焊点的左上角和右下角焊点分别为VCC和GND,分别与CPLD/FPGA芯片的VCC和GND相连

      7、,插在实验板上可从实验板获得+5V电源。(5)下载板与实验板配合使用时,可形成一个完整的实验系统。(6)下载板也可以作为一个独立的开发工具进行使用。左边的40芯插座为用户扩展接口。3.实验板主要技术指标(1)实验板可以和多种下载板相适配。(2)8个7段共阴极数码管,可以通过地址开关实现静态显示和动态扫描显示。(3)3种颜色共10个发光二极管(LED),可以实现脉冲和电平显示。(4)10个按键/电平拨动开关,在使用同一个I/O端口的情况下,可以同时产生逻辑电平“1”和“0”,以及上升沿和下降沿。并且,每一个开关有相对应的LED显示输入的情况。(5)44矩阵扫描键盘,可以完成键盘扫描功能。(6)2通道时钟信号输出,可以产生14种频率的时钟信号和手动单步脉冲。(7)2套独立的A/D、D/A转换系统,可以实现模拟信号和数字信号的转换。(8)PS/2接口、RS232接口和VGA接口,可以实现实验开发系统和计算机以及工业标准外设的通信。(9)完整的单片机最小系统(含存储器),可以实现单片机和可编程逻辑器件协同工作。同时,单片机系统当中包含有独立的A/D、D/A转换系统,构成了独立的数据采集系统。(

      8、10)12864图形显示液晶,可以实现汉字和图形的显示。 高低电平开关K1K10、脉冲按键S1S10及指示灯实验板有10个高低电平开关K1K10和10个脉冲按键S1S10,每一组电平拨动开关和脉冲按键使用同一个I/O口。拨动开关上方配有10个发光二极管D1-D10, 这些发光管既可以作为电平按键输入指示, 也可作为脉冲按键输入指示。 发光二极管L1L10在实验板的上方有10个发光二极管L1L10它们分别与下载板上的I/O口相连。红、黄、绿灯可以用于做交通灯等实验。这些发光二极管设计有保护电路,当相应的I/O管脚输出逻辑高电平1,发光二极管点亮,当管脚输出为逻辑低电平0时,发光二极管熄灭。 动、静态显示数码管M1M8实验板上配备的8个数码管可以工作于动态扫描和静态显示两种方示。动态扫描方式下,可以控制8个数码管,静态显示方式下,可以控制4个数码管。在动态扫描方式下,a、b、c、d、e、f、g、dp为数码管的8段驱动,M1、M2、M3、M4、M5、M6、M7、M8为8个数码管的位驱动,动态显示为8位。静态显示方式下,4个数码管可以单独控制。注:1.在静态显示方式下,系统实验板配有4-7段译码器,用户无需另行设计译码电路和扫描电路。2.在动态扫描方式下,当段驱动输入逻辑电平1,位驱动输入逻辑电平1时,数码管点亮。 时钟信号CP1、CP2实验板上配有非常丰富的时钟信号,为实验提供了极大的方便。在实验板的右下侧共有二通道独立的“时钟信号”。CP1、CP2两组信号源共有从低频到高频的28个时钟信号分别与下载板的CP1、CP2相连通,并有“STEP” 单步信号输入按键。单步信号按键:“单步”信号键位于实验板的右下侧,每按一次,将产生一个与按下时间等脉宽的单步脉冲。单步按键上方的指示灯指示按键情况。CP1和CP2中的“STEP”均与该“单步”信号相连接。CP1、CP2两通道信号源中的任何一个通道插座中只能选择一种信号频率,操作中只能分别插入一个跳线帽。 7 蜂鸣器主板配有蜂鸣器电路:蜂鸣器位于主板左侧(两个下载板中间),下载板中的SP信号端与蜂鸣器电路输入端相连,向蜂鸣器输出一个可调频率的方波,蜂鸣器根据不同频率发出音响,蜂鸣器额

      《使用CPLDFPGA设计数字系统NHTIV实验指导书》由会员枫**分享,可在线阅读,更多相关《使用CPLDFPGA设计数字系统NHTIV实验指导书》请在金锄头文库上搜索。

      点击阅读更多内容
    最新标签
    监控施工 信息化课堂中的合作学习结业作业七年级语文 发车时刻表 长途客运 入党志愿书填写模板精品 庆祝建党101周年多体裁诗歌朗诵素材汇编10篇唯一微庆祝 智能家居系统本科论文 心得感悟 雁楠中学 20230513224122 2022 公安主题党日 部编版四年级第三单元综合性学习课件 机关事务中心2022年全面依法治区工作总结及来年工作安排 入党积极分子自我推荐 世界水日ppt 关于构建更高水平的全民健身公共服务体系的意见 空气单元分析 哈里德课件 2022年乡村振兴驻村工作计划 空气教材分析 五年级下册科学教材分析 退役军人事务局季度工作总结 集装箱房合同 2021年财务报表 2022年继续教育公需课 2022年公需课 2022年日历每月一张 名词性从句在写作中的应用 局域网技术与局域网组建 施工网格 薪资体系 运维实施方案 硫酸安全技术 柔韧训练 既有居住建筑节能改造技术规程 建筑工地疫情防控 大型工程技术风险 磷酸二氢钾 2022年小学三年级语文下册教学总结例文 少儿美术-小花 2022年环保倡议书模板六篇 2022年监理辞职报告精选 2022年畅想未来记叙文精品 企业信息化建设与管理课程实验指导书范本 草房子读后感-第1篇 小数乘整数教学PPT课件人教版五年级数学上册 2022年教师个人工作计划范本-工作计划 国学小名士经典诵读电视大赛观后感诵读经典传承美德 医疗质量管理制度 2
    关于金锄头网 - 版权申诉 - 免责声明 - 诚邀英才 - 联系我们
    手机版 | 川公网安备 51140202000112号 | 经营许可证(蜀ICP备13022795号)
    ©2008-2016 by Sichuan Goldhoe Inc. All Rights Reserved.