可编程逻辑器件及EDA技术实验报告
24页1、可编程逻辑器件及EDA技术实验报告一、组合逻辑电路设计 数字逻辑电路按照逻辑功能的特点分为两类,一类是组合逻辑电路,简称为组合电路;另一类是时序逻辑电路,简称为时序电路。组合电路的特点是电路任意时刻输出状态只取决该时刻的输入状态,而与该时刻钱的电路状态无关。1、逻辑门电路设计实验原理:逻辑门电路包括基本逻辑门电路和符合逻辑门电路。VHDL语言可以直接支持的逻辑运算符共有七种逻辑运算,它们是: NOT 逻辑非 AND 逻辑与 NAND 逻辑与非 OR 逻辑或 NOR 或非 XOR 异或 XNOR 异或非实验内容:例3-2的参考程序:library ieee;use ieee.std_logic_1164.all;use ieee.std_logic_arith.all;use ieee. std_logic_unsigned.all;entity example3_2 is port(a,b,c,d:in std_logic; f:out std_logic_vector(3 downto 0);end example3_2;architecture behavioral of exam
2、ple3_2 isbeginf(0)=(a and b)or(b and c)or(not b and not c);f(1)=(a and b and c)or not(not a or not b or not c);f(2)=(a xor b xor c)or(not(d)and(a or c);f(3)=not (a and b)xor (c and d)or(a and b and d)xor(b and c and d);end behavioral;实验分析:用逻辑运算符是实现了相对较为复杂的逻辑运算。参考程序中使用括号来强制控制逻辑运算的优先级,对于用VHDL设计,这种写法是必修的。用这种方法可以简单、快捷地完成逻辑电路设计。电路结构图:实验波形仿真如下图:2、常用编码器设计编码是指用文字、符号和数码等来表示某种信息的过程。在数字系统中,由于采用二进制运算来处理数据,因此通常是将信息编成若干位二进制代码,而在逻辑电路中,信号都是以高、低电平的形式给出的。实现编码的数字电路称作编码器(encoder),编码器的逻辑功能就是把输入的每一个高低电平信号编成一组对应的二进制代码
《可编程逻辑器件及EDA技术实验报告》由会员re****.1分享,可在线阅读,更多相关《可编程逻辑器件及EDA技术实验报告》请在金锄头文库上搜索。
学校绩效考核年终工作总结(3篇).doc
绿色环保英文演讲稿
EPSON喷墨打印机工作原理介绍
幼儿园教师2023年度总结范文(3篇).doc
2022年07月山东省鲁山县2022年公开招聘300名初中小学教师工作实施历年高频考点试题答案解析
煤业有限公司 隐患排查治理工作方案煤业有限公司 隐患排查治理工作方案
2022中级银行从业资格-中级公司信贷考试全真模拟卷46(附答案带详解)
服装销售计划总结(2篇).doc
2021年小班月安全教育活动工作小结.doc
东北大学21春《采煤学》离线作业2参考答案78
牙膏销售策划书
员工年终总结合集10篇
千伏变电站工程施工安全风险识别评估措施清册
小学数学的学习方法
六年级英语上册单词
《离散数学》同步练习答案
2023年妇产科医生个人年终工作总结模板
儿童肿瘤现已属常见病
丽水运动鞋服项目申请报告_参考模板
大学生求职自荐信汇编15篇(精品模板)
2023-11-20 3页
2023-10-21 24页
2023-08-04 1页
2024-01-04 18页
2023-08-27 12页
2023-05-17 18页
2023-02-22 7页
2022-12-27 6页
2023-06-09 25页
2024-02-17 32页