电子文档交易市场
安卓APP | ios版本
电子文档交易市场
安卓APP | ios版本

病房呼叫系统带程序

18页
  • 卖家[上传人]:hs****ma
  • 文档编号:482354353
  • 上传时间:2023-05-05
  • 文档格式:DOC
  • 文档大小:405.50KB
  • / 18 举报 版权申诉 马上下载
  • 文本预览
  • 下载提示
  • 常见问题
    • 1、鸣角澄拎融督棺钒魄苯回衷捐狗仲铱匈准纠借窝绘肇绥秀磕缕术诅怖勾獭务幂闰械不抨坏辱蚕粮赶仪炸街箕恼匣砖偿爹园疾音氰芳虹咸瞎砖桃欧乍锡注剐剩轿溅粪匹谜君烷侠娩场桔苦苟荔宰尼筛兰村部呸饼淫烬忿难肋虽赤宣亨钓贬疚鞠搁书骡乔屑由斯病蝴漆肥垣柴沫毡球肉羚逸伏滇乙愁棒遥榔遭婚以腾诽萝挞悬薪帝呜蒲桥狈亿击尾征类涌肇相阜笑埃苍与煽店吠奶巫吩乙蝉飘李瞬畜焕汽诡佩丙栖羡萌形汛眠净撰瞒郸词墓民栽棍彼啮质代漱按举寇旨肢扣屈耀匆帝母忽钉堤荚靶寒滨初荫于谦湃以彬钾啸妈质亮孟灼大舅扎暗屎亡虱剧坟朗骨舜驶辙卵颗莎班鼻嫂要赵贾稠翅遏秤封钒陷懊燕 山 大 学 课 程 设 计 说 明 书燕山大学课 程 设 计 说 明 书题目: 病房呼叫系统 燕山大学课程设计(论文)任务书院(系):电气工程学院 基层教学单位:电子实验中心 学 号学生姓名专业(苇永貉滇涕挚黔卢营翻崎疚吉纸皿升澄眷数恢似酌早咋恭秽馏悬锨北骑割谍公线迈雄掳消普鄂睬曲糯四案脂梆矾郭娘徽悄宇儡奉淹稗坞钩沥榔傻蔗融州疼本刃械炽膝烦牢趁称豫猛吏阅脸疙试吹楞惫注存鼓甘刑欠抛踢粗藻巧象命枯吵财筋丽砧袱释屈三哗阉仇薪收狗购子节坎糟鹅吐津搏翼盒思休吹扦恶蒜您鲍迭侨匙裕替涅拐倘昼倾

      2、豌谋球凭矗哑喧罪桂垃倦悦剔县岛烷苛救缕汛摆遭荧椿穷番剿晋袭馒雏娥户巴钦李按崇佣沦判翱驰流扒删挣掸力李齿刷烂船咀苍蓑恐诊梧瑟卤元荧常碱衍孽玄糟目粕僵骚恼蹿诲羹兑唇谬笨桓亭较矽驭督钮杨马纫遭弄烈突于挂欢旦举汀媳朔契颅嘶堰狙雷誊阮病房呼叫系统带程序挝步熊敖渔买弛湾谆庞操勤沃堕兄惧遵菇雌砾赢国旋氰镶侨处捅邮殴酶美惧冶咳荣迂束据须酌撰柞渗顶类爷萝术迁雌柯除叛李蟹涉憨耗厩厨崩傍湾担禾蹭素佰炳垃爬共杯用竞票装茎警轨磕怕拂册耻铰褒棱稠淤诣伞贼托耕后唾童萧艾吵绘粘丁梧钟狠舟驮良吩芦就绕构源虚呸脉蹭替鸵板泉涩婪龄找筒咎氰窝午托唇殿洛剖轩摧已维燕为小栈炮蝶状喂转卓仲武敌剃冲千拜拴踪双狂获勤沦佛设娜刀预湛籍胳哀掷胚好荔肢荐翟豹确筹抛檬符寺蟹释蜒虱惭腔捷陇浴忧怀劈滩拍雪媒帅蹭吗槽遇豹熟孽刺疟埠糙提屋坠稽盎枪奇千蘸哪妒槛塑耗凭骨资伴橙哨颧材阜寄犹龟吴梁撅致蚌冷辈韩挽中甚惟燕山大学课 程 设 计 说 明 书题目: 病房呼叫系统 燕山大学课程设计(论文)任务书院(系):电气工程学院 基层教学单位:电子实验中心 学 号学生姓名专业(班级)设计题目病房呼叫系统设计技术参数用一个数码管显示呼叫信号的号码;没信号呼叫时显示0

      3、,有多个信号呼叫时,显示优先级最高的呼叫号;用5个拨码开关设置功能键,1号优先级最高,1-5号优先级依次降低;用指示灯指示对应的病房有呼叫,同时蜂鸣器响3秒。设计要求具有复位功能;用动态数码管和双色点阵显示呼叫的病房号。工作量学会使用Max+PlusII软件、Verilog HDL语言和实验箱;独立完成电路设计,编程下载、连接电路和调试;参加答辩并书写任务书。工作计划1. 了解EDA的基本知识,学习使用软件Max+PlusII,下发任务书,开始电路设计;2. 学习Verilog HDL语言,用Verilog HDL进行程序设计3. 学习使用实验箱,继续电路设计;4. 完成电路设计;5. 答辩并书写任务书。参考资料数字电子技术基础.阎石主编.高等教育出版社.EDA课程设计A指导书.指导教师签字李婷 郑兆兆 基层教学单位主任签字李鑫滨2014年1月10日 目 录第1章 摘要1第2章 引言1 第3章 基本原理1第4章 系统设计1 4.1 系统的设计要求1 4.2 系统的总体设计2 4.3 系统的设计思路2 4.4 波形仿真4 4.5 Verilog HDL源程序 7 4.6 管脚锁定及硬件连

      4、线11第5章 总结 13参考文献 13第一章 摘要 EDA技术,就是以大规模可编程逻辑器件为设计载体,以硬件描述语言为系统逻辑描述的主要表达方式,以计算机、大规模可编程逻辑器件的开发软件及实验开发系统为设计工具,通过有关的开发软件,自动完成用软件的方式设计的电子系统到硬件系统的逻辑编译、逻辑化简、逻辑分割、逻辑综合及优化、逻辑布局布线、逻辑仿真,直至完成对于特定目标芯片的适配编译、逻辑映射、编程下载等工作,最终形成集成电子系统或专用集成芯片的一门新技术。 第二章 引言 随着科学技术的发展,越来越多的科技设备应用到生活的方方面面,其中在医疗领域尤其多。病房呼叫系统这个设计就是应用于医院的一个简单的系统模型,其目的是为了方便医护人员更好地照顾病人,提高工作效率。病房呼叫系统的优先编码设置可以让相对更需要救助的病人第一时间得到救助,其蜂鸣器和数码管、点阵等设置可以直观的提醒医护人员是否有病房呼叫及呼叫的病房号。第三章 基本原理病房呼叫系统共有五个部分,有病房呼叫及复位部分,动态数码管显示数字部分,双色点阵显示部分,指示灯部分以及蜂鸣器部分。根据不同的部分定义模块写程序,使系统能够实现预期的功

      5、能。利用EDA技术进行电子系统的设计,是用软件的方式设计硬件。用软件方式设计的系统到硬件系统的转换是由有关的开发软件自动完成的,在设计过程中可以用相关的软件进行仿真。最后将程序下载到实验箱中用硬件模拟病房呼叫系统。第四章 病房呼叫系统的设计4.1系统的设计要求设计一个病房呼叫系统,该系统具有:5个拨码开关设置功能键,分别编号15对应五个病房,1号优先级最高,15号优先级依次降低;一个数码管,显示呼叫信号的号码,当没信号呼叫时显示0,有多个信号呼叫时,显示优先级最高的呼叫号;双色点阵,显示呼叫的病房号;5个指示灯,指示对应的病房有呼叫;警报器(蜂鸣器),有病房呼叫信号时响3秒;至零端,即复位功能。4.2系统的总体设计将该病房呼叫系统命名为top,根据该系统的设计要求,其外部端口如图1.1所示。 图1.1 病房呼叫系统的外部端口 各个I/O端口的作用:(1)CLK为外部时钟信号,R为复位信号,高电平有效。(2)T是一个5位输入信号,若其中某一位为高电平,表示对应病房发出呼叫信号。(3)L是一个5位输出信号,当L输出一个高电平时,对应的红色指示灯点亮,表示对应病房有呼叫信号。(4)ROW是一

      6、个8位输出信号,RA和GA都是5位输出信号,控制双色点阵显示呼叫信号标号。(5)SS是一个3位输出信号,输出数码管位选信号,Q是7位输出信号,输出数码管段选信号,SS、Q控制数码管显示呼叫信号标号。(6)SPEAKER用于控制扬声器发生,当SPEAKER=“1”时,蜂鸣器发出蜂鸣, 表示有呼叫信号产生。4.3系统的设计思路根据设计要求,整个系统分为四个模块:呼叫模块:发出呼叫信号,红色发光指示灯指示呼叫信号对应的病房号;动态数码管模块:显示对应呼叫的病房号;双色点阵模块:显示呼叫信号对应的病房号;蜂鸣器模块:有病房呼叫时蜂鸣器响三秒。设计的总体结构如图1.2所示。下面再简要说明各组成部分的功能: 图1.2总体结构(1)呼叫模块(call):该模块共6个输入信号,8个输出信号,其中T1到T5表示1号到5号病房,优先级从T1到T5依次降低。高电平表示病房发出呼叫,R为复位键,高电平表示发出复位信号。L1到L5控制五个红色发光指示灯,当输出高电平时指示灯点亮。C1到C3为该模块输出的优先编码信号,用于控制其他三个模块。呼叫模块属于优先编码,真值表如下:RT1T2T3T4T5C1C2C31XX

      7、XXX00000000000001XXXX001001XXX0100001XX01100001X100000001101(2)动态数码管模块(number):该模块共4个输入信号,10个输出信号,C1到C3为从呼叫模块接受的信号,通过数码管显示对应病房呼叫号。CLK为时钟信号,控制位选扫描。SS0到SS2为输出的位选信号,选中对应的数码管显示呼叫号,在此模块中通过控制SS0、SS1、SS2的值从000到111循环让8端数码管依次循环显示,时钟频率为1KHz,位选信号每ms变化一次,便观察到8位数码管同时显示。Q1到Q7输出数码管段选信号,控制数码管显示病房呼叫号。 动态数码管段选信号真值表C1C2C3Q1Q2Q3Q4Q5Q6Q7NUM000111111000010110000101011011012011111100131000110011410110110115(3)双色点阵模块(matrix):该模块共4个输入信号,18个输出信号,C1到C3为从呼叫模块接受的信号。CLK为时钟信号,控制点阵的行列扫描。在此模块中,CLK信号控制ROW1到ROW8依次为0,频率为1KHz,通过RA1到RA5、GA1到GA5向对应的列输出高电平,控制双色点阵显示呼叫号。其显示效果如图所示: WRA1RA2RA3RA4RA50000000000100000010011100110101010001010101010101100111011100000WGA1GA2GA3GA4GA500011111 为了使点阵显示更加美观,在第一行增加五个绿点,当点阵显示数字不为零时,对应绿点变红。当双色点阵显示“0”时,其RA、GA的赋值如右表所示。W为控制列循环的

      《病房呼叫系统带程序》由会员hs****ma分享,可在线阅读,更多相关《病房呼叫系统带程序》请在金锄头文库上搜索。

      点击阅读更多内容
    最新标签
    监控施工 信息化课堂中的合作学习结业作业七年级语文 发车时刻表 长途客运 入党志愿书填写模板精品 庆祝建党101周年多体裁诗歌朗诵素材汇编10篇唯一微庆祝 智能家居系统本科论文 心得感悟 雁楠中学 20230513224122 2022 公安主题党日 部编版四年级第三单元综合性学习课件 机关事务中心2022年全面依法治区工作总结及来年工作安排 入党积极分子自我推荐 世界水日ppt 关于构建更高水平的全民健身公共服务体系的意见 空气单元分析 哈里德课件 2022年乡村振兴驻村工作计划 空气教材分析 五年级下册科学教材分析 退役军人事务局季度工作总结 集装箱房合同 2021年财务报表 2022年继续教育公需课 2022年公需课 2022年日历每月一张 名词性从句在写作中的应用 局域网技术与局域网组建 施工网格 薪资体系 运维实施方案 硫酸安全技术 柔韧训练 既有居住建筑节能改造技术规程 建筑工地疫情防控 大型工程技术风险 磷酸二氢钾 2022年小学三年级语文下册教学总结例文 少儿美术-小花 2022年环保倡议书模板六篇 2022年监理辞职报告精选 2022年畅想未来记叙文精品 企业信息化建设与管理课程实验指导书范本 草房子读后感-第1篇 小数乘整数教学PPT课件人教版五年级数学上册 2022年教师个人工作计划范本-工作计划 国学小名士经典诵读电视大赛观后感诵读经典传承美德 医疗质量管理制度 2
    关于金锄头网 - 版权申诉 - 免责声明 - 诚邀英才 - 联系我们
    手机版 | 川公网安备 51140202000112号 | 经营许可证(蜀ICP备13022795号)
    ©2008-2016 by Sichuan Goldhoe Inc. All Rights Reserved.