电子文档交易市场
安卓APP | ios版本
电子文档交易市场
安卓APP | ios版本

基于FPGA的千兆以太网设计.doc

58页
  • 卖家[上传人]:re****.1
  • 文档编号:465708529
  • 上传时间:2023-09-17
  • 文档格式:DOC
  • 文档大小:1.77MB
  • / 58 举报 版权申诉 马上下载
  • 文本预览
  • 下载提示
  • 常见问题
    • 1、摘 要摘 要随着信息技术的快速发展,以太网嵌入式设备的应用越来越广泛。为了让以太网各设备之间能公平有效地共享通信媒介,对以太网MAC层数据处理系统的研究显得尤为重要。为此,本文首先分析了以太网及其相关协议的基本内涵,解剖了以太网MAC层通信机制、帧的特性与格式、PHY接口协议、ARP协议以及I2C协议。同时通过对FPGA芯片的了解,确定了基于88E1111芯片和XC3S400FPGA芯片相结合的系统设计方案,支持1000Base-T标准以太网的接入,完成了系统的硬件电路设计。系统设计中,88E1111完成PHY层数据的处理,FPGA则完成MAC层数据的处理,主要包含接收MAC数据帧的校验和解封、待发送数据帧的封装、MAC地址滤波、IP数据包的提取、ARP地址映射等,是系统的核心。在FPGA设计中,遵循自上而下的设计思想,对顶层模块以及PHY接口、MAC核心处理、用户配置、用户数据各功能子模块依次进行设计。关键词:以太网 MAC层 FPGAABSTRACTWith the rapid development of IT,the embedded devices of accessing

      2、to Ethernet are used more and more widely.In order to make each device enjoy a fair and effective shared communications medium, it is particularly important to research the data processing system of the Ethernet MAC layer.To this end,it is firstly done to analyze the basic connotation of Ethernet and the related agreements,and to dissect the communication mechanisms of the Ethernet MAC layer,the characteristics and format of the frame,the PHY interface protocol,the ARP protocol,I2C protocol in t

      3、he paper.According to the characteristics and working principle of the FPGA chip ,the system design, which supports the accessing of the 1000Base-T Ethernet,is determined based on the combination of the 88E1111 PHY chip and XC3S400-4fg456C FPGA chip of Xilinx.Then the hardware circuit design is accomplished by the Cadence development tool.In this system, 88E1111 and FPGA respectively completes the data processing of PHY layer and the data processing of the MAC layer,which mainly consists of the

      4、calibration and dearchive of the receiving data frames, the encapsulation of the data frames,the MAC address filtering, the extraction of the IP packet,The ARP address mapping,and so on. So FPGA is the core of the system. Following the top-down design conception,the design of FPGA successively includes the top-level module,and the submodule of the PHY interface,the MAC core processing,the user configuration,the user data interface.Keywords: Ethernet the MAC layer FPGA目 录i目 录第一章 绪论11.1研究背景及意义11.2

      5、国内外研究现状21.3论文内容和论文组织结构安排3第二章 千兆以太网理论基础52.1千兆以太网标准52.2介质访问控制(MAC)72.2.1半双工MAC72.2.2全双工MAC92.3介质无关接口(MII)102.3.1GMII接口信号定义112.3.2GMII接口时序特性142.3.3GMII的管理MDIO接口152.4物理层技术172.5TCP/IP协议栈18第三章 以太网数据传输硬件设计实现213.1系统方案设计213.1.1设计思想213.1.2系统功能213.1.3系统总体结构设计223.2芯片的选取233.2.1物理层芯片选择233.2.2FPGA主芯片选择253.3硬件电路设计263.3.1以太网变换电路设计26第四章 FPGA软件设计与仿真334.1FPGA软件开发简介334.2系统顶层模块设计344.3PHY接口模块设计384.3.1设计思想384.3.2相关算法394.3.3功能仿真404.4MAC核心处理模块设计414.5实验测试与结果424.5.1硬件测试平台准备424.5.2软件测试平台准备434.5.3系统测试与结果分析45第五章 结论与展望49致谢51参考

      6、文献53第一章 绪论3第一章 绪论1.1研究背景及意义当今,随着互联网技术的迅速发展,采用以太网实现数据采集和控制方面的应用,成为了电子系统设计的热点。以太网具有价格低廉、稳定可靠、传输速度快、传输距离远等特点,以太网技术发展成熟,具有很高的性价比。采用以太网技术的设备,可以通过协议进行数据的传输,不需要进行传输协议转换,使用和维护设备简单。随着技术的发展和各类应用的需求,出现了各种以太网的标准,包括标准以太网(10Mbit/s)、百兆以太网(100Mbit/s)、千兆以太网(1000Mbit/s)和10G(10Gbit/s)以太网1。不同类型的以太网有其各自需要遵循的标准,同时其所用的传输介质以及数据吞吐量也各不相同。千兆以太网技术作为新一代的高速以太网技术,它可以提供1Gbps的通信带宽,采用和传统10M、100M以太网同样的CSMA/CD协议、帧格式和帧长、全/半双工工作方式、流控模式以及布线系统,给用户带来了提高核心网络的有效解决方案,这种解决方案的最大优点是继承了传统以太网技术价格便宜的特点。同时随着电子技术的发展,系统设备正向小型化、集成化、网络化发展。FPGA(Field

      7、 Programmable GateArray,现场可编程门阵列)以其高密度、大容量、低成本和微功耗等特点,被广泛的应用于各种电子系统的设计和开发中,面向各种技术的应用也越来越广泛2。在其内部加入处理器系统,不仅能够实现一般的逻辑功能设计,同时能够实现一般单片机功能,通过内部逻辑功能连接可以使整体获得优异的性能,并大大减少设计工作。目前,在FPGA中嵌入了许多专用功能的IP Core,还增加了许多硬件核,如存储器、时钟管理和算法功能核,甚至包括了DSP核和嵌入式处理器核等,即能在FPGA中进行DSP和嵌入式处理等关键领域的开发,使得FPGA可实现的功能越来越强。比如世界第一大FPGA生产厂商Xilinx公司推出的最新器件Zynq-7000系列甚至将业界标准ARM双核Cortex-A9 MP Core处理系统嵌入到了可编程芯片中,不但能够实现FPGA的高度灵活性和可扩展性,同时为利用FPGA进行嵌入式开发带来了可能。目前,以PC机平台的千兆以太网的开发已经相对成熟,而近些年来随着FPGA、DSP和ARM等嵌入式芯片的处理能力的提升,基于这些芯片的千兆以太网的开发则处于起步阶段3。在这类系

      8、统的实现中,千兆以太网的MAC子层作为硬核集成在芯片中通过编程来实现,不仅有效降低了系统的成本,实现高效的传输性能,而且可以缩小PCB制板的大小。因此研究基于FPGA的千兆以太网技术,在大吞吐量数据传输通道、实时数据服务和实时信号处理等方面都将有着广泛的应用前景和潜在的经济价值,更进一步地对于千兆以太网在嵌入式系统中的应用,都具有很好的推进作用和实际意义。1.2国内外研究现状近些年来,根据一些国内外公开发表的文献,在基于FPGA的千兆以太网的数据传输的研究与应用方面,国内外的各机构已经有了一定的研究成果。南京信息工程大学王胜杰和王建的基于千兆以太网的PC机与FPGA的高速数据传输,使用WinPcap自定义通信帧格式,实现一种PC机与FPGA之间双向高速数据传输的方法。但该研究绕过了TCP协议和IP协议,只涉及到链路层和物理层,与标准的千兆以太网接入系统无法很好的结合,只能面向特殊的应用。在Xilinx官方公布的文档xapp1043中,Xilinx公司与Treck公司合作,实现了高效的Treck商业化以太网协议栈,可以实现目前以太网上常用的几乎所有的协议4。其文档测试的结果表明,在扩展的

      9、MTU大小达到9000Byte的模式下,可以实现惊人的922Mbps的纯网络速度。但该实现是基于Treck商业版的TCP/IP协议栈,且目前Xilinx公司已经去掉与Treck的合作,并不适合普通以太网的应用。尽管Altera公司现在能提供关键通信协议的FPGA IP支持,但是集成以太网协议的IP核只可以在Altera的一些高端FPGA上实现,如Stratix系列5。对于低端的FPGA,如FLEX系列仍然不能实现。尽管作为Altera IP核合作伙伴之一的IXXAT提供工业以太网开发套件,但费用是相当高的,近乎上百万美元。因此,自行开发出合理的协议堆栈、MAC、TCP/IP协议堆栈以及接口应用将具有很重大的意义和经济价值。综上所述,目前国内外实现的千兆以太网主要以单芯片或单系统环境,趋向于以底层链路层以下的协议为基本设计起点完成千兆以太网的数据传输功能,且很多研究与应用都是面向专用的场合,并不适合普通用户的开发。面对FPGA的快速发展,在基于片内处理器的千兆以太网高速数据传输还很少,且使用处理器实现网络传输的TCP/IP协议正好与目前大量使用的以太网网络能快速兼容地结合,可以很容易用于商业产品的生成。因此,本课题的研究,对于千兆以太网在嵌入式高速数据网络通信技术的实际应用,以及进一步在其他嵌入式系统中的应用,都具有很好的应用前景和潜在的经济价值。1.3论文内容和论文组织结构安排本文在章节上一共分为五章,论文的组织结构安排如下:第一章为绪论部分,主要介绍了FPGA中接入千兆以太网技术的研究背景以及目前国内外的研究现状,以及本论文的研究内容和论文安排。第二章主要阐述了以太网基础理论的研究第三章主要阐述以太网数据传输硬件部分设计与实现。第四章主要阐述以太网数据传输软件部分设计与实现。第五章主要阐述了本文的总结和研究展望,对本文进行

      《基于FPGA的千兆以太网设计.doc》由会员re****.1分享,可在线阅读,更多相关《基于FPGA的千兆以太网设计.doc》请在金锄头文库上搜索。

      点击阅读更多内容
    最新标签
    监控施工 信息化课堂中的合作学习结业作业七年级语文 发车时刻表 长途客运 入党志愿书填写模板精品 庆祝建党101周年多体裁诗歌朗诵素材汇编10篇唯一微庆祝 智能家居系统本科论文 心得感悟 雁楠中学 20230513224122 2022 公安主题党日 部编版四年级第三单元综合性学习课件 机关事务中心2022年全面依法治区工作总结及来年工作安排 入党积极分子自我推荐 世界水日ppt 关于构建更高水平的全民健身公共服务体系的意见 空气单元分析 哈里德课件 2022年乡村振兴驻村工作计划 空气教材分析 五年级下册科学教材分析 退役军人事务局季度工作总结 集装箱房合同 2021年财务报表 2022年继续教育公需课 2022年公需课 2022年日历每月一张 名词性从句在写作中的应用 局域网技术与局域网组建 施工网格 薪资体系 运维实施方案 硫酸安全技术 柔韧训练 既有居住建筑节能改造技术规程 建筑工地疫情防控 大型工程技术风险 磷酸二氢钾 2022年小学三年级语文下册教学总结例文 少儿美术-小花 2022年环保倡议书模板六篇 2022年监理辞职报告精选 2022年畅想未来记叙文精品 企业信息化建设与管理课程实验指导书范本 草房子读后感-第1篇 小数乘整数教学PPT课件人教版五年级数学上册 2022年教师个人工作计划范本-工作计划 国学小名士经典诵读电视大赛观后感诵读经典传承美德 医疗质量管理制度 2
    关于金锄头网 - 版权申诉 - 免责声明 - 诚邀英才 - 联系我们
    手机版 | 川公网安备 51140202000112号 | 经营许可证(蜀ICP备13022795号)
    ©2008-2016 by Sichuan Goldhoe Inc. All Rights Reserved.