电子文档交易市场
安卓APP | ios版本
电子文档交易市场
安卓APP | ios版本

彩灯控制系统课程设计报告

28页
  • 卖家[上传人]:s9****2
  • 文档编号:456395598
  • 上传时间:2022-08-29
  • 文档格式:DOC
  • 文档大小:3.37MB
  • / 28 举报 版权申诉 马上下载
  • 文本预览
  • 下载提示
  • 常见问题
    • 1、 本科生课程设计题 目: 彩灯控制系统 课 程: 数字电子技术基础 专 业: 电气工程及其自动化 班 级: 电气 学 号: 姓 名: 指导教师: 完成日期: 总 目 录第一部分:任务书 第二部分:课程设计报告第 一 部 分任务书数字电子技术课程设计计划1、 方案设计;(一天)根据设计任务书给定的技术指导和条件,进行调查研究、查阅参考文献,进行反复比较和可行性论证,确定出方案电路,画出主要单元电路,数据通道,输入、输出及重要控制信号概貌的框图。2、 电路设计:(一天半)根据方案设计框图,并画出详细的逻辑图3、 装配图设计:(半天)根据给定的元器件,结合逻辑图,设计出电路制作的具体装配图(即绘出组件数量,管脚号以及器件布置的实际位置)。同时配以必要的文字说明。4、 电路制作:(一天半)对选定的设计,按装配图进行装配,调试实验。5、 总结鉴定:(半天)考核样机是否全面达到现定的技术指标,能否长期可靠地工作,并写出设计总结报告。数字电子技术基础课程设计任务书一、课程设计的目的本课程是在学完数字电子技术基础、数字电子技术实验之后,集中一周时间,进行的复杂程度较高、综合性较强的设计课题的实做训练。

      2、主要包括:方案论证、系统电路分析、单元功能电路设计、元器件选择、安装调试、计算机辅助设计、系统综合调试与总结等。使学生在数字电子技术基本知识、实践能力和综合素质、创新意识、水平诸方面得到全面提高,为后续课程的学习,为培养应用型工程技术人才打下重要基础。通过本课程设计可培养和提高学生的科研素质、工程意识和创新精神。真正实现了理论和实际动手能力相结合的教学改革要求。二、课程设计的要求1、加强对电子技术电路的理解,学会查寻资料、方案比较,以及设计计算等环节,进一步提高分析解决实际问题的能力。2、独立开展电路实验,锻炼分析、解决电子电路问题的实际本领,真正实现由知识向技能的转化。3、独立书写课程设计报告,报告应能正确反映设计思路和原理,反映安装、调试中解决各种问题。三、课程设计进度安排1、方案设计;(一天)根据设计任务书给定的技术指导和条件,进行调查研究、查阅参考文献,进行反复比较和可行性论证,确定出方案电路,画出主要单元电路,数据通道,输入、输出及重要控制信号概貌的框图。2、 电路设计:(一天)根据方案设计框图,并画出详细的逻辑图3、 装配图设计:(半天)根据给定的元器件,结合逻辑图,设计出

      3、电路制作的具体装配图(即绘出组件数量,管脚号以及器件布置的实际位置)。同时配以必要的文字说明。4、 电路制作:(一天半)对选定的设计,按装配图进行装配,调试实验。5、 总结鉴定:(半天)考核样机是否全面达到现定的技术指标,能否长期可靠地工作,并写出设计总结报告。四、设计题目及内容 彩灯控制系统(1)、控制灯具组数为8组;(2)、控制灯具花色方案8种(自定);(3)、灯具扫描速度按频率为1HZ、2HZ、4HZ、8HZ可调,每完成一次大循环可自动也可手动改变扫描速度,任意时刻手动改变扫描速度;(4)、应有五种工作模式的数码显示。(5)、要求控制端不超过一个。五、设计要求1、 用中小型规模集成电路设计出所要求的电路;2、 在实验箱上安装、调试出所设计的电路。3、 部分课题要求用可编程逻辑器件(FPGA/CPLD)设计实现;4、 在EDA编程实验系统上完成硬件系统的功能仿真。5、 写出设计、调试、总结报告。六 、器件与器材1、 二输入四与非门 74LS002、 四输入双与非门 74LS203、 六倒相器 74LS044、 八输入与非门 74LS305、 正沿双D触发器 74LS746、 3线-

      4、8线译码器 74LS1387、 可逆移位寄存器 74LS1948、 同步十进制可逆加、减计数器 (8421 BCD码) 74LS1929、同步十进制加法计数器 74LS16010、振荡分频器 CD406011、BCD七段显示译码器 74LS4812、双四选一数据选择器 74LS15313、八线驱动器 74LS24414、555定时器 55515、LED共阴七段数码管 BS20716、石英晶体(4M、2 M、32768 HZ)17、微动开关、拨盘开关、继电器、LED(红、绿、蓝)、电阻、电容、二极管、三极管、光敏二、三极管、导线等。22、工具(镊子、剪刀、万用表、电烙铁)七、使用仪器设备1、 稳压电源(5V,15V);2、 实验电路箱;3、 信号发生器;4、 示波器5、 PC机(装有MAX+PLUSII软件);6、 EDA编程系统实验箱等。八、参考文献1、“模拟电子技术基础”和“数字电子技术基础”教材;2、有关“电子技术课程设计指导书”;3、“集成电路特性应用手册”;7、 EDA技术使用教程8、 其他。九、设计总结报告主要内容1、 任务及要求;2、 方案特点;3、 各组成部分及工作原理(

      5、应结合框图写);4、 单元电路设计与调试;5、 总逻辑图;6、 总装配图;7、 实验仿真结果;8、 实验结果分析(画出必要的波形,进行测量精度和误差分析);9、 调试中出现问题的解决;10、改进意见及收获体会等。第 二 部 分课程设计报告目 录1 设计任务及要求.112 系统总体设计方案.112.1 总体设计方案.112.2 方案特点.113 可编程逻辑器件概述.113.1 EDA与VHDL技术介绍.114 二分频电路设计.12 4.1模块符号及说明.12 4.2 原理图(VHDL程序)介绍.124.3 功能仿真.125 四频率输出电路设计.13 5.1模块符号及说明.135.2 功能仿真.136 四选一数据选择器设计.14 6.1模块符号及说明.14 6.2 原理图(VHDL程序)介绍.146.3 功能仿真.157 频率的控制电路设计.15 7.1模块符号及说明.15 7.2 原理图(VHDL程序)介绍.157.3 功能仿真.167.4 子模块分析.167.4.1 自动二进制输入.167.4.2 手动二进制输入.177.4.3 自动与手动的控制.198 六十四进制计数器设计.20 8.1模块符号及说明.20 8.2 原理图(VHDL程序)介绍.208.3 功能仿真.

      《彩灯控制系统课程设计报告》由会员s9****2分享,可在线阅读,更多相关《彩灯控制系统课程设计报告》请在金锄头文库上搜索。

      点击阅读更多内容
    最新标签
    监控施工 信息化课堂中的合作学习结业作业七年级语文 发车时刻表 长途客运 入党志愿书填写模板精品 庆祝建党101周年多体裁诗歌朗诵素材汇编10篇唯一微庆祝 智能家居系统本科论文 心得感悟 雁楠中学 20230513224122 2022 公安主题党日 部编版四年级第三单元综合性学习课件 机关事务中心2022年全面依法治区工作总结及来年工作安排 入党积极分子自我推荐 世界水日ppt 关于构建更高水平的全民健身公共服务体系的意见 空气单元分析 哈里德课件 2022年乡村振兴驻村工作计划 空气教材分析 五年级下册科学教材分析 退役军人事务局季度工作总结 集装箱房合同 2021年财务报表 2022年继续教育公需课 2022年公需课 2022年日历每月一张 名词性从句在写作中的应用 局域网技术与局域网组建 施工网格 薪资体系 运维实施方案 硫酸安全技术 柔韧训练 既有居住建筑节能改造技术规程 建筑工地疫情防控 大型工程技术风险 磷酸二氢钾 2022年小学三年级语文下册教学总结例文 少儿美术-小花 2022年环保倡议书模板六篇 2022年监理辞职报告精选 2022年畅想未来记叙文精品 企业信息化建设与管理课程实验指导书范本 草房子读后感-第1篇 小数乘整数教学PPT课件人教版五年级数学上册 2022年教师个人工作计划范本-工作计划 国学小名士经典诵读电视大赛观后感诵读经典传承美德 医疗质量管理制度 2
    关于金锄头网 - 版权申诉 - 免责声明 - 诚邀英才 - 联系我们
    手机版 | 川公网安备 51140202000112号 | 经营许可证(蜀ICP备13022795号)
    ©2008-2016 by Sichuan Goldhoe Inc. All Rights Reserved.