电子文档交易市场
安卓APP | ios版本
电子文档交易市场
安卓APP | ios版本

课程设计-基于DAC0832的波形发生器设计

22页
  • 卖家[上传人]:206****923
  • 文档编号:88913695
  • 上传时间:2019-05-13
  • 文档格式:DOC
  • 文档大小:2.67MB
  • / 22 举报 版权申诉 马上下载
  • 文本预览
  • 下载提示
  • 常见问题
    • 1、波形发生器设计目录摘要1第一章绪论2第二章DAC0832及其特性32.1 D/A转换器与单片机接口探究32.1.1 数据线连接32.1.2 地址线连接32.1.3 控制线连接32.2 DAC0832的认识42.2.1 DAC0832的结构42.2.2 DAC0832的引脚42.2.3 DAC0832的启动控制方式5第三章 硬件设计73.1 启动方式选择73.2 框图设计73.3 电路图设计7第四章 程序设计94.1 程序流程图94.1.1 程序设计思路94.1.2流程图94.2 用C语言实现114.3 用汇编语言实现14第五章 Proteus仿真及结果175.1方波:175.2正弦波:175.3三角波:185.4梯形波:185.5锯齿波:19设计心得:20参考文献:21摘要本设计使用AT89C51单片机做控制,选择8位D/A转换器DAC0832作D/A转换。硬件方面,首先51熟悉单片机的结构和工作原理,连接单片机的最小系统。之后熟悉D/A转换器工作方式,经过分析后选择DAC0832的单缓冲启动控制方式,完成电路框图。进一步根据设计要求完成通过独立按键控制D/A输出,作出电路框图和电路原

      2、理图。软件方面:设计思路主要体现在两点上。一是控制,通过程序控制DAC转换与输出,按键消抖,选择相应的即将输出的波形。二是产生波形,根据波形的特点编写程序以产生相应波形的数字信号。分别通过C语言和汇编语言实现简易的波形发生器,输出方波、正弦波、三角波、梯形波和锯齿波,通过独立按键控制分别输出不同的波形。以KILL与Proteus为设计平台,仿真测试设计结果的正确性。关键字: 51单片机,DAC0832,单缓冲启动控制方式,波形发生器,C语言设计,汇编语言设计第一章 绪论单片微型计算机简称单片机,是典型的嵌入式微控制器(Microcontroller Unit), 常用英文字母的缩写MCU表示单片机,单片机又称单片微控制器,它不是完成某一个逻辑功能的芯片,而是把一个计算机系统集成到一个芯片上。单片机由运算器,控制器,存储器,输入输出设备构成,相当于一个微型的计算机(最小系统),和计算机相比,单片机缺少了外围设备等。概括的讲:一块芯片就成了一台计算机。它的体积小、质量轻、价格便宜、为学习、应用和开发提供了便利条件。同时,学习使用单片机是了解计算机原理与结构的最佳选择。它最早是被用在工业控制

      3、领域。51单片机是目前最流行的8位单片机。51单片机是对所有兼容Intel8031指令系统的单片机的统称。该系列单片机的始祖是Intel的8031单片机,后来随着Flashrom技术的发展,8031单片机取得了长足的进展,成为应用最广泛的8位单片机之一,其代表型号是ATMEL公司的AT89系列,它广泛应用于工业测控系统之中。很多公司都有51系列的兼容机型推出,今后很长的一段时间内将占有大量市场。51单片机是基础入门的一个单片机,还是应用最广泛的一种。需要注意的是52系列的单片机一般不具备自编程能力。第二章 DAC0832及其特性2.1 D/A转换器与单片机接口探究D/A转换器与单片机接口具有软硬相依性。在连接过程中要考虑到数据线、地址线、控制线的连接。2.1.1 数据线连接 D/A转换器与单片机接口要考虑到两个问题:一个是位数,当高于8位的D/A转换器与8位数据总线的51单片机接口时,51单片机的数据必须分时输出,这时就必须考虑数据分时传送的格式和输出电压“毛刺”问题;二是D/A转化器的内部结构,当D/A转换器的内部结构没有输入锁存器时,必须在单片机与D/A转换器之间增设锁存器或者I/

      4、O口。最常用的是8位带锁存端的D/A转换器与8位单片机的接口,这时只需要将单片机的数据总线和D/A转换器的8位数据输入端一一对应即可。2.1.2 地址线连接 一般的D/A转换器只有片选信号,而没有地址线。这时单片机的地址线采用全译码或者部分译码,经译码器输出控制片选信号,也可以用某一位I/O线来控制片选信号。也有少数D/A转换器有少量的地址线,用于选中片内独立的寄存器或者选择输出通道,这时单片机执行的地址线与D/A转换器的地址线对应连接。2.1.3 控制线连接D/A转换器主要有片选信号、写信号、及启动转换信号等,一般由单片机的有关引脚或译码器提供。一般来说,写信号多由单片机的信号控制;启动信号常常由片选信号与写信号的组合形式(LE1、LE2)形成,当单片机执行一条输出指令时,传送的地址使转换器的片选信号有效,由实现启动。(具体实现原理见1.2节)2.2 DAC0832的认识图1.1 DAC0832的管脚结构2.2.1 DAC0832的结构DAC0832的结构如图1.1所示,主要由控制逻辑电路、输入寄存器、DAC寄存器和D/A转换器构成。由上图逻辑控制电路可知:LE1=(+)ILELE2

      5、=+ 由LE1控制输入寄存器读入数据,由LE2控制输入寄存器向DAC寄存器传输数据,同时开始D/A转换。2.2.2 DAC0832的引脚DAC0832的引脚如图1.1所示:DI0DI7:8位数据输入线,高电平有效。ILE:数据锁存允许控制输入线,高电平有效。:片选信号输入线,低电平有效。:输入锁存器写选通输入线,负脉冲有效。输入锁存器的信号就是LE1。LE1负跳变有效。:数据传送控制信号输入线,低电平有效。:DAC寄存器写选通信号输入线,负脉冲有效。DAC锁存信号就是LE2,LE2的负跳变有效。:模拟电流输出线。:模拟电流输出线,采用单极性输出时,通常接地。:反馈信号输入线。反馈电阻被制作在芯片里,用作外接运放的反馈电阻,为D/A转换器提供电压输出。:参考电压输入端。要求外接一精密电压源,电压范围在-10V+10V之间选定。通过的符号来改变输出极性。:电源接口。AGND:模拟地。DGND:数字地。注:数字信号的高频噪声很大,如果模拟信地和数字地混合的话,就会把数字的噪声传到模拟部分,造成干扰。如果分开的话,高频噪声可以在电源处通过滤波来消除掉。2.2.3 DAC0832的启动控制方式

      6、DAC0832有三种启动控制方式: (1)直通方式:将、信号都接地,ILE信号引脚接高电平,只要数据传送到数据线上,两级锁存器同时开始工作,并启动D/A转换,即转换器处于非受控状态。在这种工作方式下,转换器的数据线不能直接和单片机的数据线(P0.0P0.7)相连接。因为P0口分时复用为低8位的地址总线和数据总线,对输出无锁存能力,会使转换器的输出不确定。但是可以将ADC的数据线连接到某个I/O口上,执行端口输出指令(MOV Px A)即可启动一次D/A转换。这种方式很少采用。 (2)单缓冲方式:两级锁存器接受同一种控制,例如将和直接接地,8位ADC锁存器处于非受控状态,只有8位输入锁存器处于受控状态,即此时仅有LE1控制ADC。这种方式在不要求多个模拟同步输出时采用。 (3)双缓冲方式: 俩个锁存器都处于受控状态,单片机要对转换器进行两步写操作:第一次执行指令使LE1有效,称作第一级缓冲,第二次执行指令使LE2有效,称作第二级缓冲。这种方式的优点是数据接收和启动转换可以异步进行,可在D/A转换的同时接收下一个转换数据,以提高转换速度,还可以实现多个转换器同时启动转换,同步输出。第三章

      7、硬件设计3.1 启动方式选择 因为本设计要求设计一个波形发生器,要求能产生正弦波、方波、三角波、梯形波、锯齿波,而且由按键控制输出的波形。故各个波形输出并非同步输出,而是由按键控制,单个输出,并且本例只需要一个DAC,如果选择双缓冲方式就会加大不必要的难度;直通方式不能有效的控制DAC转换,转换时可能会出现数据流失。故选择单缓冲启动控制方式来驱动DAC0832。3.2 框图设计根据2.1节的判断,选择AT89c51单片机作控制,实现电路框如下:图2.1 硬件设计框图3.3 电路图设计根据以上框图,选择+12V的基准电压,选择一级集成运放作放大电路,连接A/D转换电路图如下:图2.2 单片机与ADC的连接方式 此外加上单片机最小系统,用P1口控制输出的波形,电路连接如下:图2.3 电路原理图第四章 程序设计4.1 程序流程图4.1.1 程序设计思路 (1)因为要使用到按钮(本例中选择独立按键),必须使用到键盘扫描,首先要进行键盘消抖,然后通过扫描确定是哪个按键被按下,通过I/O口(本例中为P1口)的数据判断选择五种波形中的哪一个; (2)根据(1)中的结果,通过编程产生各个波形的数字信号

      8、; (3)控制DAC转换数字信号为模拟信号。程序框图如下:图3.1 程序设计思路4.1.2流程图在本流程图中分别以k1、k2、k3、k4、k5代表单片机的I/O口P1.0、P1.1、P1.2、P1.3、P1.4;设置flag1、flag2、flag3、flag4、flag5为1时分别代表方波、正弦波、三角波、梯形波、锯齿波。当确认按键按下时,令相对应的flagx=1,然后用连续的判断程序来选择将要输出的波形。程序实现了只需按一下按钮便可持续输出对应的波形。流程图如下: 图3.2 程序流程图4.2 用C语言实现 根据程序流程图用C语言编程,得C语言实现的代码为:#include #include #define DAC0832 XBYTE0x7fff sbit k1=P10; sbit k2=P11; sbit k3=P12; sbit k4=P13;sbit k5=P14;int flag1=0;int flag2=0;int flag3=0;int flag4=0;int flag5=0;unsigned char code zhengxian256= 此处省略为正弦波数字信号 ;void delay() /延时程序 int i; for(i=0;i1000;i+); void panduan(void) /函数panduan用于扫描按键状态,判断输出波形 if(k1=1) /按键消抖 delay(); if(k1=1) /通过赋值flag选择波形 flag1=1;flag2=0;flag3=0;flag4=0;flag5=0; if(k2=1) delay(); if(k2=1) flag1=0; flag2=1;flag3=0;flag4=0;flag5=0; if(k3=1) delay(); if(k3=1) flag1=0;flag2=0;flag3=1;flag4=0;flag5=0; i

      《课程设计-基于DAC0832的波形发生器设计》由会员206****923分享,可在线阅读,更多相关《课程设计-基于DAC0832的波形发生器设计》请在金锄头文库上搜索。

      点击阅读更多内容
    最新标签
    信息化课堂中的合作学习结业作业七年级语文 发车时刻表 长途客运 入党志愿书填写模板精品 庆祝建党101周年多体裁诗歌朗诵素材汇编10篇唯一微庆祝 智能家居系统本科论文 心得感悟 雁楠中学 20230513224122 2022 公安主题党日 部编版四年级第三单元综合性学习课件 机关事务中心2022年全面依法治区工作总结及来年工作安排 入党积极分子自我推荐 世界水日ppt 关于构建更高水平的全民健身公共服务体系的意见 空气单元分析 哈里德课件 2022年乡村振兴驻村工作计划 空气教材分析 五年级下册科学教材分析 退役军人事务局季度工作总结 集装箱房合同 2021年财务报表 2022年继续教育公需课 2022年公需课 2022年日历每月一张 名词性从句在写作中的应用 局域网技术与局域网组建 施工网格 薪资体系 运维实施方案 硫酸安全技术 柔韧训练 既有居住建筑节能改造技术规程 建筑工地疫情防控 大型工程技术风险 磷酸二氢钾 2022年小学三年级语文下册教学总结例文 少儿美术-小花 2022年环保倡议书模板六篇 2022年监理辞职报告精选 2022年畅想未来记叙文精品 企业信息化建设与管理课程实验指导书范本 草房子读后感-第1篇 小数乘整数教学PPT课件人教版五年级数学上册 2022年教师个人工作计划范本-工作计划 国学小名士经典诵读电视大赛观后感诵读经典传承美德 医疗质量管理制度 2 2022年小学体育教师学期工作总结
     
    收藏店铺
    关于金锄头网 - 版权申诉 - 免责声明 - 诚邀英才 - 联系我们
    手机版 | 川公网安备 51140202000112号 | 经营许可证(蜀ICP备13022795号)
    ©2008-2016 by Sichuan Goldhoe Inc. All Rights Reserved.