电子文档交易市场
安卓APP | ios版本
电子文档交易市场
安卓APP | ios版本

《EDA技术与应用》实验指导书

40页
  • 卖家[上传人]:桔****
  • 文档编号:482246099
  • 上传时间:2022-08-06
  • 文档格式:DOC
  • 文档大小:4.10MB
  • / 40 举报 版权申诉 马上下载
  • 文本预览
  • 下载提示
  • 常见问题
    • 1、 EDA技术与应用实验指导书第一部分 基础实验实验一 EDA软件的熟悉与使用一、实验目的1熟悉ALTERA公司EDA设计工具软件Quartus II的使用方法。2熟悉EDA技术实验箱的结构与组成。二、实验原理参考教材Quartus II开发软件的使用方法。三、实验仪器1计算机2EDA技术实验箱四、实验内容1在教师指导下完成Quartus II软件的安装,熟悉Quartus II软件主要菜单命令功能。2熟悉EDA技术实验箱结构、组成,了解各模块的基本作用,了解I/O分布情况。3参考1位半加器的设计实例,按照设计流程完成新建项目文件、编译、仿真、分配引脚、编程下载等操作,掌握采用Quartus II软件设计流程。五、实验报告1绘制出Quartus II软件设计的详细流程图。2描述Quartus II软件是如何进行目标器件选择,I/O分配和锁定引脚的。3描述Quartus II软件Help菜单功能,如何有效地使用它。4写出EDA技术实验箱的I/O分布情况。六、思考题在进行一个完整的实验流程时应注意些什么? 实验二 1位半加器的设计一、实验目的1掌握Quartus II软件设计流程。2熟悉原理

      2、图输入设计方法。二、实验原理1位半加器可以用一个与门、一个异或门组成。设加数和被加数分别为a、b,和为so、进位co,则半加器表达式为:co=a and b;so=a xor b。三、实验仪器1计算机(预装Quartus II软件)2EDA技术实验箱。四、实验内容1为本项工程设计建立一个文件夹任何一项设计都是一项工程(Project),都必须首先为此工程建立一个放置与此工程相关的文件的文件夹,此文件夹将被EDA软件默认为工作库(Work Library)。一般不同的设计项目最好放在相应的文件夹中,注意,一个设计项目可以包含多个设计文件。例如,本项设计的文件夹取名为h_adder,路径为E: h_adder。2输入设计项目在Quartus II主窗口,从“File”菜单下选择“New Project Wizard”,出现如图2.1所示的建立新设计项目的对话框。在对话框的第一栏中输入设计项目所在的文件夹名;在第二栏中输入新的设计项目名;在第三栏中输入设计系统的底层项目名,如果没有底层项目,或者暂时不考虑,则第三栏中的项目名和第二栏相同。图2.1 建立新设计的项目对话框3输入设计文件在Qua

      3、rtus II主窗口,选择“File”主菜单下的“New”命令,出现如图2.2所示的输入方式选择窗口,选择“Block Diagram/Schematic”(模块/原理图文件)输入方式后,进入图形编辑窗口,其界面如图2.3所示,这时便可以输入设计电路了。图2.2 选择原理图设计输入方式图2.3 一位半加器的原理图编辑文件4选择目标器件并编译设计项目在编译设计文件前,应先选择下载的目标芯片,否则系统将以默认的目标芯片为基础完成设计文件的编译。在Quartus II主窗口,执行“Assignments”菜单下的“Device”命令,出现如图2.4所示的器件选择对话框。在“Family:”栏目中选择目标芯片系列名,如“ACEX1K”,然后在“Available devices:”栏目中用鼠标点黑选择的目标芯片型号,如“EP1K30QC208-2”,选择结束单击“OK”按钮。图2.4 目标芯片选择对话框图2.5 Quartus II的编译器窗口目标芯片选定后,执行Quartus II主窗口“Tools”菜单下的“Compiler Tool”命令, 出现如图2.5所示的Quartus II的编译

      4、器窗口, 然后单击图2.5所示Quartus II编译器窗口左下脚的Start按钮,或选择主菜单“Processing”下的“Start Compilation”命令,即可对h_adder设计项目进行编译。5生成元件符号在Quartus II主窗口,执行主菜单“File”下的“Create”命令,然后选择“Create Symbol Files For Current File ”选项,即可将当前的h_adder.bdf原理图文件生成对应的元件符号,如图2.6所示。这个元件符号可以被其它图形设计文件调用,实现多层次的系统电路设计。比如,可以利用来设计一位全加器。图2.6 半加器元件符号6设计项目的仿真仿真,也称为模拟(Simulation),是对电路设计的一种间接的检测方法,根据仿真时是否包含延时信息可分为功能仿真和时序仿真。 (1)建立一个仿真波形文件在Quartus II主窗口,执行“File” 菜单下的“New”命令,弹出如图2.7所示对话框,选择“Other Files”中的“Vector Waveform File ”,单击“OK”按钮,则打开一个空的波形编辑器窗口,如图2.

      5、8所示。图2.7 建立仿真波形新文件窗口图2.8 波形文件编辑界面(2)输入信号节点在波形编辑方式下,执行“Edit”的“Insert Node or Bus”命令,或在波形编辑窗口的“Name”栏中单击鼠标右键,在弹出的菜单中选择“Insert Node or Bus”命令,即可弹出插入节点或总线(Insert Node or Bus)对话框,如图2.9所示。在 Insert Node or Bus对话框中,首先单击“Node Finder” 按钮,弹出如图2.10所示的节点发现者(Node Finder)对话框,在对话框的“Filte”栏目中选择“Pins:all”后,再单击“List”按钮,这时在窗口左边的“Nodes Found:”框中将列出该设计项目的全部信号节点。若在仿真中需要观察全部信号的波形,则单击窗口中间的“”按钮;若在仿真中只需观察部分信号的波形,则首先用鼠标单击信号名,然后单击窗口中间的“”按钮,选中的信号即进入到窗口右边的“Selected Nodes:”(被选择的节点)框中,如果需要删除“Selected Nodes:”框中的节点信号,也可以用鼠标将其选中,然

      6、后单击窗口中间的“”按钮。节点信号选择完毕后,单击“OK”按钮即可。图2.9 插入信号节点对话框图2.10 节点发现者对话框(3)设置波形参量Quartus II波形编辑器默认的仿真结束时间是1s,如果需要更长时间观察仿真结果,可执行“Edit”命令菜单中的“End Time”选项,在弹出如图2.11所示的“End Time”选择窗中,设置仿真文件的时间长度。选择“Edit”命令菜单中的“Grid Size”选项,可以设置仿真波形编辑器中栅格的大小。注意,栅格的时间必须小于仿真文件的时间长度。图2.11 设置仿真时间域对话框(4) 编辑输入节点波形,即指定输入节点的逻辑电平变化对于任意信号波形的输入方法是:在波形编辑区中,按下鼠标左键并拖动需要编辑的区域,然后直接单击快捷工具栏上相应按钮,完成输入波形的编辑。快捷工具栏各按钮的功能如图2.12所示。对于周期性信号(如时钟信号)的输入方法是:在输入信号节点上单击鼠标右键,从弹出的右键菜单中选择Value|Clock命令,则弹出时钟设置对话框,直接输入时钟周期、相位以及占空比即可。图2.12 波形编辑器快捷工具栏按钮的功能(5) 波形文件存

      7、盘设置好一位半加器输入节点a、b的波形后,如图2.13所示。执行“File”选项的“Save”命令,在弹出的“Save as”对话框中直接按“OK”键即可完成波形文件的存盘。在波形文件存盘操作中,系统自动将波形文件名设置与设计文件名同名,但文件类型是.vwf。例如,一位半加器设计电路的波形文件名为“h_adder.vwf”。图2.13 设置好半加器输入节点a、b波形的界面(6) 功能仿真功能仿真没有延时信息,仅对所设计的电路进行逻辑功能验证。在仿真开始前,需选择主菜单“Processing”下的“Generate Functional Simulation Netlist”命令,产生功能仿真网表。然后执行主菜单“Tools”下的“Simulator Tool”命令,在弹出的对话框的选项“Simulation mode:”中,选择仿真类型为“Functional”, 如图2.14所示。图2.14 设置仿真类型窗口设置好功能仿真类型后,执行主菜单“Processing”中的“Start Simulation”命令,或单击Simulator Tool对话框左下方的按键选项“Start”进行仿

      8、真,仿真成功后,单击Simulator Tool对话框右下方的按键选项“Report”,打开仿真波形窗口Simulation Waveforms,一位半加器的功能仿真波形如图2.15所示,从波形图可以看出设计电路的逻辑功能是正确的,功能仿真没有时间延迟。图2.15 一位半加器的功能仿真波形7编程下载设计文件编程下载设计文件包括引脚锁定和编程下载两个部分。(1) 引脚锁定在目标芯片确定后,为了把设计电路的编写程序下载到目标芯片“EP1K30QC208-2”中,还需要确定引脚的连接,即指定设计电路的输入/输出端口与目标芯片哪一个引脚连接在一起,这个过程称为“引脚锁定”。在目标芯片引脚锁定前,需要根据使用的EDA硬件开发系统的引脚信息(参考附录A ZY11203E型EDA技术实验箱简介),确定设计电路的输入和输出端与目标芯片引脚的连接关系,再进行引脚锁定,以便能够对设计电路进行实际测试。 执行“Assignments”项中的赋值编辑“Assignments Editor”命令,弹出如图2.16所示的赋值编辑对话框,在对话框的“Category”栏目选择“Pin”项。 用鼠标双击“To”栏目下

      9、的,在其下拉菜单中列出了设计电路的全部输入和输出端口名,例如半加器的a、b、co和so端口等。用鼠标选择其中的一个端口后,再用鼠标双击“Location”栏目下的,在其下拉菜单中列出了目标芯片全部可使用的I/O端口,然后根据EDA开发系统的实际引脚信息用鼠标选择其中的一个I/O端口。例如,半加器的两个输入端a、b,分别选择Pin_45 、Pin_46(相当于ZY11203E型EDA实验箱上的高低电平输入键“K1”、“K2”); 半加器的两个输出端和“so” 和进位“co”端口,分别选择Pin_24和Pin_19,(相当于EDA实验箱上的发光二极管“LED2”、“LED1”)。赋值编辑操作结束后,完成引脚锁定,如图2.16所示,存盘并关闭此窗口。完成引脚锁定后,相应的半加器原理图文件h_adder.bdf也增加了引脚信息,如图2.17所示。图2.16 赋值编辑对话框图2.17 引脚锁定后的半加器原理图 锁定引脚后还需要对设计文件重新编译,即执行主菜单“Processing”下的“Start Compilation”命令,产生设计电路的下载文件。对于CPLD器件,称为编程文件(.pof);而对FPGA器件,称为配置文件(.sof)。(2) 时序仿真时序仿真使用包含延时信息的编译网表,不仅测试逻辑功能,还测试设计的逻辑在目标器件中最差情况下的时序关系,它和器件的实际情况基本一致,因此对整个

      《《EDA技术与应用》实验指导书》由会员桔****分享,可在线阅读,更多相关《《EDA技术与应用》实验指导书》请在金锄头文库上搜索。

      点击阅读更多内容
    最新标签
    监控施工 信息化课堂中的合作学习结业作业七年级语文 发车时刻表 长途客运 入党志愿书填写模板精品 庆祝建党101周年多体裁诗歌朗诵素材汇编10篇唯一微庆祝 智能家居系统本科论文 心得感悟 雁楠中学 20230513224122 2022 公安主题党日 部编版四年级第三单元综合性学习课件 机关事务中心2022年全面依法治区工作总结及来年工作安排 入党积极分子自我推荐 世界水日ppt 关于构建更高水平的全民健身公共服务体系的意见 空气单元分析 哈里德课件 2022年乡村振兴驻村工作计划 空气教材分析 五年级下册科学教材分析 退役军人事务局季度工作总结 集装箱房合同 2021年财务报表 2022年继续教育公需课 2022年公需课 2022年日历每月一张 名词性从句在写作中的应用 局域网技术与局域网组建 施工网格 薪资体系 运维实施方案 硫酸安全技术 柔韧训练 既有居住建筑节能改造技术规程 建筑工地疫情防控 大型工程技术风险 磷酸二氢钾 2022年小学三年级语文下册教学总结例文 少儿美术-小花 2022年环保倡议书模板六篇 2022年监理辞职报告精选 2022年畅想未来记叙文精品 企业信息化建设与管理课程实验指导书范本 草房子读后感-第1篇 小数乘整数教学PPT课件人教版五年级数学上册 2022年教师个人工作计划范本-工作计划 国学小名士经典诵读电视大赛观后感诵读经典传承美德 医疗质量管理制度 2
    关于金锄头网 - 版权申诉 - 免责声明 - 诚邀英才 - 联系我们
    手机版 | 川公网安备 51140202000112号 | 经营许可证(蜀ICP备13022795号)
    ©2008-2016 by Sichuan Goldhoe Inc. All Rights Reserved.