电子文档交易市场
安卓APP | ios版本
电子文档交易市场
安卓APP | ios版本

微处理器系统结构与嵌入式系统-第四章微机总线技术与总线标准

90页
  • 卖家[上传人]:豆浆
  • 文档编号:47384910
  • 上传时间:2018-07-01
  • 文档格式:PPT
  • 文档大小:3.20MB
  • / 90 举报 版权申诉 马上下载
  • 文本预览
  • 下载提示
  • 常见问题
    • 1、微处理器系统结构与嵌微处理器系统结构与嵌 入式系统设计入式系统设计第四章第四章 总线技术与总线标准总线技术与总线标准第四章 (6) 总线技 术与总 线标准4.1 总线技术(掌握) 总线技术概述总线仲裁总线操作与时序4.2 总线标准(理解) 片内AMBA总线PCI系统总线异步串行通信总线34.1 4.1 总线技术总线技术l总线是计算机系统中的信息传输通道,由系统中各个 部件所共享。总线的特点在于公用性,总线由多条通 信线路(线缆)组成l计算机系统通常包含不同种类的总线,在不同层次上 为计算机组件之间提供通信通路l采用总线的原因:非总线结构的N个设备的互联线组数为N*(N-1)/2非总线结构的M发N收设备间的互联线组数为M*Nl采用总线的优势减少部件间连线的数量扩展性好,便于构建系统便于产品更新换代44.1.1总线要素l线路介质种类:有线(电缆、光缆)、无线(电磁波)特性 l 原始数据传输率l 带宽l 对噪声的敏感性:内部或外部干扰l 对失真的敏感性:信号和传输介质之间的互相作用引起l 对衰减的敏感性:信号通过传输介质时的功率损耗l总线协议 总线信号:有效电平、传输方向/速率/格式等电气性

      2、能机械性能总线时序:规定通信双方的联络方式 总线仲裁:规定解决总线冲突的方式如接口尺寸、形状等其它:如差错控制等5总线协议组件总线分类6按所处位置 (数据传送范围 )片内总线芯片总线(片间总线、元件级总线) 系统内总线(插板级总线)系统外总线(通信总线)非通用总线 (与具体芯 片有关)通用标准总线地址总线控制总线按总线功能数据总线并行总线串行总线按数据格式按时序关系 (握手方式)同步异步半同步同步 异步7外部总线、 (系统)外总线 如并口、串口系统总线、 (系统)内总线 如ISA、PCI片(间)总线 三总线形式片内总线 单总线形式计算机系统的四层总线结构运算器寄存器控 制 器CPU存储 芯片I/O 芯片主板扩展 接口板扩展 接口板计算机系统其 他 计算机 系 统其 他 仪 器 系 统8总线的组织形式l组织形式:单总线、双总线,多级总线l单总线特征:存储器和I/O分时使用同一总线优点:结构简单,成本低廉,易于扩充缺点:带宽有限,传输率不高(可能造成物理长度过长 )9双总线l特征:存储总线+I/O总线l优点:提高了总线带宽和数据传输速率,克服单总 线共享的限制,以及存储/IO访问速度不一致

      3、而对 总线的要求也不同的矛盾l缺点:CPU繁忙10多级总线l特征:高速外设和低速外设分开使用不同的总线l优点:高效,进一步提高系统的传输带宽和数据传输速率l缺点:复杂11微机的典型多级总线结构存储 总线 高速IO 总线低速IO 总线1213微机系统中的内总线(插板级总线)14微机系统中的外总线(通信总线)15三总线MPURAMROMI/O接口外设ABDBCB哈佛体系结构DSP程序数据I/O接口外设程序地址数据读地址数据写地址程序读总线数据读总线程序/数据写数据程序冯诺依曼 体系结构典型的控制信号l总线的控制信号存储器写信号存储器读信号I/O写信号I/O读信号总线请求信号总线授予信号中断请求信号中断应答信号时钟信号复位信号16总线隔离与驱动l不操作时把功能部件与总线隔离同一时刻只能有一个部件发送数据到总线上l提供驱动能力数据发送方必须提供足够的电流以驱动多个部件l提供锁存能力具有信息缓存和信息分离能力17总线的性能指标 l总线时钟频率:总线上的时钟信号频率l总线宽度:数据线、地址线宽度l总线速率:总线每秒所能传输数据的最大次数。总线速率=总线时钟频率/总线周期数总线周期数:总线传送一次数

      4、据所需的时钟周期数l有些几个周期才能传输1个数据l总线带宽:总线每秒传输的字节数l同步方式l总线负载能力18总线宽度l总线宽度:笼统地说,就是总线所设置的通 信线路(线缆)的数目。具体地说,就是总 线内设置用于传送数据的信号线的数目为数 据总线宽度,用于传输地址的信号线的数目 为地址总线宽度,如8位、16位、32位、64 位等l数据总线宽度在很大程度上决定了计算机总 线的性能l地址总线的宽度则决定了系统的寻址能力1920总线带宽l总线带宽(bus band width) 表示单位时间内 总线能传送的最大数据量(bps/Bps)l用“总线速率总线位宽/8=时钟频率总线位 宽/(8总线周期数)”表示总线位宽:数据信号线的数目,同一时刻传输 的数据位数l总线复用;成本、串扰;时钟频率l总线偏离(skew)、兼容性例lCPU的前端总线(FSB)频率为400MHz或 800MHz,总线周期数为1/4(即1个时钟周期 传送4次数据),位宽为64bit则FSB的带宽为40064/(81/4)=12.8GB/s或80064/(81/4)=25.6GB/slPCI总线的频率为33.3MHz,位宽为32位

      5、或 64位,总线周期数为1则PCI总线的带宽为:33.332/8=133MB/s或33.364/8=266MB/s21224.1.2 总线仲裁l总线仲裁(arbitration)也称为总线判决,根据连接到总线上的各功能 模块所承担任务的轻重缓急,预先或动态地赋予它们不同的使用总线 的优先级,当有多个模块同时请求使用总线时,总线仲裁电路选出当 前优先级最高的那个,并赋予总线控制权l其目的是合理地控制和管理系统中多个主设备的总线请求,以避免总 线冲突l分布式(对等式)仲裁控制逻辑分散在连接于总线上的各个部件或设备中协议复杂且昂贵,效率高l集中式(主从式)仲裁采用专门的控制器或仲裁器总线控制器或仲裁器可以是独立的模块或集成在CPU中协议简单而有效,但总体系统性能较低23特点:各主控模块共用请求信号线和忙信号线,其优先级别 由其在链式允许信号线上的位置决定; 优点:具有较好的灵活性和可扩充性; 缺点:主控模块数目较多时,总线请求响应的速度较慢;菊花链(串行)总线仲裁主控 模块1主控 模块2主控 模块N允许BG请求BR忙BB总线仲裁器24三线菊花链仲裁原理l任一主控器Ci发出总线请求时,使BR1

      6、l任一主控器Ci占用总线,使BB1,禁止BG输出l主控器Ci没发请求(BRi=0),却收到BG(BGINil), 则将BG向后传递(BGOUTil)l当BR1,BB0时,仲裁器发出BG信号。此时, BG1,如果仲裁器本身也是一个主控器,如微处理 器,则在发出BG之前BB0时,它可以占用一个或 几个总线周期l若Ci同时满足:本地请求(BRi=1);BB=0;检测到 BGINi端出现了上升沿。接管总线。lCi接管总线后,BG信号不再后传,即BGOUTi0 25各主控器有各主控器有独立的独立的总线请求BRBR、总线允许BGBG,互不影响,互不影响 总线仲裁器总线仲裁器直接识别直接识别所有设备的请求,并向选中的设备所有设备的请求,并向选中的设备CiCi发发BGiBGi 特点:各主控模块有独立的请求信号线和允许信号线,其优先 级别由总线仲裁器内部模块判定; 优点:总线请求响应的速度快; 缺点:扩充性较差;并行仲裁总线仲裁器C1C2Cn总线 BR1 BG1 BR2 BG2BRn BGnBBBCLK(总线时钟)26串并行二维仲裁从下一设备主模块1主模块2主模块3允许BG请求BR忙BB总线仲裁器主模块

      7、4到下一设备综合了前两种仲裁方式的优点和缺点分布式总线仲裁方式l总线上各个设备都有总线仲裁模块l当任何一个设备申请总线,置“总线忙”状态,以阻止其 他设备同时请求27IN OUT主设备1IN OUT主设备2IN OUT主设备3IN OUT主设备4IN OUT主设备5总线请求总线忙+5V仲裁线总线284.1.3 总线操作与时序l总线操作:计算机系统中,通过总线进行信息交换的 过程称为总线操作l总线周期:总线设备完成一次完整信息交换的时间读/写存储器周期读/写IO口周期DMA周期中断周期l多主控制器系统,总线操作周期一般分为四个阶段总线请求及仲裁阶段、寻址阶段、传数阶段和结束阶段l单个主控制器系统,则只需要寻址和传数两个阶段总线主控制器的作用l总线系统的资源分配与管理l提供总线定时信号脉冲l负责总线使用权的仲裁l不同总线协议的转换和不同总线间数据传输 的缓冲2930总线时序l总线时序是指总线事件的协调方式,以实现可 靠的寻址和数据传送l总线时序类型同步:所有设备都采用一个统一的时钟信号来协调 收发双方的定时关系异步:依靠传送双方互相制约的握手(handshake)信 号来实现定时控制半同步

      8、:具有同步总线的高速度和异步总线的适应 性31同步并行总线时序 l特点系统使用同一时钟信号控制各模块完成数据传输一般一次读写操作可在一个时钟周期内完成,时钟前、后沿分别 指明总线操作周期的开始和结束地址、数据及读/写等控制信号可在时钟沿处改变l优点:电路设计简单,总线带宽大,数据传输速率快l缺点:时钟以最慢速设备为准,高速设备性能将受到影响同步时钟地址信号数据信号控制信号延时32异步并行总线时序l特点:系统中可以没有统一的时钟源,模块之间依靠 各种联络(握手)信号进行通信,以确定下一步的动 作l优点:全互锁方式可靠性高,适应性强l缺点:控制复杂,交互的联络过程会影响系统工作速 度地址信号数据信号主设备 联络信号从设备 联络信号 准备好接收 (M发送地址信号)已收到数据 (M撤销地址信号)完成一次传送 (S撤销数据信号)已送出数据 (S发送数据信号)33半同步并行总线时序l特点:同时使用主模块的时钟信号和从模块的联络信号l优点:兼有同步总线的速度和异步总线的可靠性与适应性 Ready信 号可作为 慢速设备 的异步联 络信号 CLK信号 作为快速 设备的同 步时钟信 号总线设计要素l信号线

      9、类型专用信号线复用信号线l总线仲裁方法集中仲裁分布仲裁l总线定时方法同步异步l总线宽度地址总线宽度数据总线宽度l数据传输类型读/写/读-修改-写/写后读/块传输(联系传输)344.2 总线标准l总线标准包括:逻辑规范:逻辑信号电平时序规范电气规范机械规范通信协议35364.2.1 SoC的片内总线l片上总线特点简单高效l结构简单:占用较少的逻辑单元l时序简单:提供较高的速度l接口简单:降低IP核连接的复杂性灵活,具有可复用性l地址/数据宽度可变、互联结构可变、仲裁机制可变功耗低l信号尽量不变、单向信号线功耗低、时序简单l片内总线标准ARM的AMBA 、IBM的CoreConnectSilicore的Wishbone、Altera的Avalon37ARM的AMBA: Advanced Microcontroller Bus Architecturel先进高性能总线AHB (Advanced High-performance Bus)适用于高性能和高吞吐设备之间的连接,如CPU、片上 存储器、DMA设备、DSP等l先进系统总线ASB(Advanced System Bus)适用于高性能系统模块。与AHB的主要不同是读写数据 采用了一条双向数据总线l先进外设总线APB(Advanced Peripheral Bus)适用于低功耗外部设备,经优化减少了功耗和接口复杂 度适合较复杂的应用,需要遵守较简单的操作协议;拥有 众多的第三方支持AMBA总线3839AMBA2.0总线结构图高性能 ARM核高性能片上 RAM高性能 DMAC核高带宽片外存储器 接口桥键盘UARTTimerPIOAHB or ASBAPBAMBA总线 lAMBA总线规范是由ARM公司推出的一种用于高性能嵌入式微处理器设计的片上总线标准,由于AMBA总线的开放性和其本身的高性能,以及由于ARM处理器的广泛应用,AMBA已成为SOC设计中使用最广泛的总线标准。l目前AMBA 总线规范的版本为3.0,它定义了三组不同的总线:AMBA高性能总

      《微处理器系统结构与嵌入式系统-第四章微机总线技术与总线标准》由会员豆浆分享,可在线阅读,更多相关《微处理器系统结构与嵌入式系统-第四章微机总线技术与总线标准》请在金锄头文库上搜索。

      点击阅读更多内容
    最新标签
    监控施工 信息化课堂中的合作学习结业作业七年级语文 发车时刻表 长途客运 入党志愿书填写模板精品 庆祝建党101周年多体裁诗歌朗诵素材汇编10篇唯一微庆祝 智能家居系统本科论文 心得感悟 雁楠中学 20230513224122 2022 公安主题党日 部编版四年级第三单元综合性学习课件 机关事务中心2022年全面依法治区工作总结及来年工作安排 入党积极分子自我推荐 世界水日ppt 关于构建更高水平的全民健身公共服务体系的意见 空气单元分析 哈里德课件 2022年乡村振兴驻村工作计划 空气教材分析 五年级下册科学教材分析 退役军人事务局季度工作总结 集装箱房合同 2021年财务报表 2022年继续教育公需课 2022年公需课 2022年日历每月一张 名词性从句在写作中的应用 局域网技术与局域网组建 施工网格 薪资体系 运维实施方案 硫酸安全技术 柔韧训练 既有居住建筑节能改造技术规程 建筑工地疫情防控 大型工程技术风险 磷酸二氢钾 2022年小学三年级语文下册教学总结例文 少儿美术-小花 2022年环保倡议书模板六篇 2022年监理辞职报告精选 2022年畅想未来记叙文精品 企业信息化建设与管理课程实验指导书范本 草房子读后感-第1篇 小数乘整数教学PPT课件人教版五年级数学上册 2022年教师个人工作计划范本-工作计划 国学小名士经典诵读电视大赛观后感诵读经典传承美德 医疗质量管理制度 2
    关于金锄头网 - 版权申诉 - 免责声明 - 诚邀英才 - 联系我们
    手机版 | 川公网安备 51140202000112号 | 经营许可证(蜀ICP备13022795号)
    ©2008-2016 by Sichuan Goldhoe Inc. All Rights Reserved.