电子文档交易市场
安卓APP | ios版本
电子文档交易市场
安卓APP | ios版本

EDA第6章EDA应用工具深入(宏功能模块)

36页
  • 卖家[上传人]:cn****1
  • 文档编号:481999629
  • 上传时间:2023-06-08
  • 文档格式:DOCX
  • 文档大小:1.76MB
  • / 36 举报 版权申诉 马上下载
  • 文本预览
  • 下载提示
  • 常见问题
    • 1、EDA工具应用深6章 EDAI 具应用深入?计数 器模块 ?乘法 器模块 ?锁相?存储器模块?其 他模块LPM (参数可段置模块库)Megafunction 库是 Altera 提供的参数 化 模块库。从功能上看,可以把Megafunction 库中的元器件分为:?算术运算模块(arithmetic)?逻辑门模块(gates)?储存模块( storage)? 10 模块 (I/O)LPM概述累加器、加法器、乘法器和 LPM算术函数门电路匚多路复用器和LPM门函数I/O组件A时钟数据恢复(CDR锁相环(PLL)、双数据速率(DDR卜 千兆位收发器块(GXB)、LVD皴收器和发送器、PLL 重新配置和远程更新宏功能模块存储器编译器乂FIFO Petitioner 、RAMF口 ROMg功能模块存储组件上|存储器、移位寄存器宏模块和 LP游储器函数占7.1宏功能模块概述知识产权核的应用AMPP程序MegaCore函数OpenCore评估功能OpenCore PI us硬件评估功能右7J宏功能模块概述7.1.2 使用 MegaWizard Plug-In ManagerV输出文件? bsf :

      2、 Block Editor中使用的宏功能模块的符号(元件)。? v输出文件? cmp :组件申明文件。?v输出文件? inc :宏功能模块包装文件中模块的 AHDL包含文件。? v输出文件.tdf :要在AHDL设计中实例化的宏功能模块包装文件。? v输出文件? vhd :要在VHDL设计中实例化的宏功能模块包装文件。? v输出文件:要在VerilogHDL设计中实例化的宏功能模块包装文件。?v输出文件欣:VerilogHDL设计所用宏功能模块包装文件中模块的空体或black-box申明,用于在使用EDA综合工具时指定端口方向。? v输出文件_inst.tdf :宏功能模块包装文件中子设计的 AHDL例化示例。? v输出文件_inst.vhd :宏功能模块包装文件中实体的 VHDL例化示例。?v输出文件_inst.v :宏功能模块包装文件中模块的 VerilogHDL例化示例。占7J宏功能模块概述7.1.3 在Quartusll中对宏功能模块进行例化1、 在 VerilogHDL 和 VHDL 中例化2、使用端口和参数定义3、使用端口和参数定义生成宏功能模块计数器加法乘-累加器和乘?加

      3、法器/减法器乘 RAM法器移位寄存器序号宏模块名称心功能高述心1参数化累加器宏模块(不支持IVIAX3000和IVIAX7000系列) *2浮点加法飙法器宏模如3述九嵌参数化除法器宏模块Q4型5硼参数化乘法器宏模块口5-参数化存储乘法器宏模块Q6黑!噬宏砂7参数北乘加器宏褛块QVVVV vvXTVvvvv8参数化整数平方根运篡宏模块口g参数化平方运算宏模块车10divided参数化除法M如11参数化绝对值运算宏块(直躺.整雅荐使用)a12签数化加法器借法器宏块(以嫁国雅荐使用 )Q13参数化比较器宏模块(剧女蕊荐使用)P14参数化计数器宏模块(触加隹荐?使用)P15参数化除法器宏禊块($.H.髭雅荐使用)P16签数化乘法器宏椁块(蠡H聚推荐使用)P17艮八1期4并行加法器宏块,参数化乘法器lpm_mult:宏功能模块的基本参数表端口名称4功能描述v输入端口一均嫌严被乘数口姒或H乘数心sum p部分和Qclocks输入时钟(流水线形式时使用)相dW时钟使能(流水线形式时使用)Q异步清零(流水线形式时使用)Q输出端口 qresult p输出结果 result尸fetaa口 X.Atab +

      4、sum参数设爵LPM WIDTHA P删端口的数据线宽度心LPM_WIDTHB P虫域端口的数据线宽度门LPM_WIDTHP八resultn端口笊i数据线宽度qLPM_WIDTHS八sMl端口的数据线宽度存LPMI_REP RESENT ATI01W选择Z三符号数乘法”或“无符号数来法赴 pLPM PIPELINE八流水线实现乘法器时. 一次运算所需时钟周期数A2dsumresult! LPM MULT clock dataaf databf aclr clkenins ? ?fl厂 Bepeat-insert mode 厂 Insert symbol as blockV Launch MegaWizard Plug-InMegaWizard Plug-In Manager.1 SK |Cancel(2) lpm_mult参数设置输入输出位宽设置乘法器类型设置(3)编译仿真B a3 bS 1-21I*-& ! ? !*:-126X118X-119XX i : : 590 :X rx11T XTT-128X-12-1309N X N1536功能仿真波形4.3计救赛濮计数器输出端口宽度和计

      5、数方向设置Plug-Id laager - LPiCOUlTEB p4 of 7LPM_COUNTERVersion 6X1About|T Parameter 叵SiSettings LiGeneral iGener3E Optional Inputscount erOupdown昨/doW力 modulus 住 clockq4.JOcoirt 登mo学籍照舟的.Which type of courier da you want?O Phin binaryModulcsj 丽山 a count modulus of MlDo jou any optional additional port5?._ Clock EnableCarry-inCount EnableCarry-outResource UsageSlutCancelFinish计数器模和控制端口设置更多控制端口设置Udelkupdown clockup/doiijnmcidulus 24q4.OCOlJtmst$; ii 口 厅? jAVbWbVhVbWbWbWbWb 门 LITPUT Q4-.D?CCHJtlpm_coun

      6、terif数器功能仿真波形B0 L 厂_ 厂厂工1厂厂一厂工门UBO 1模 24 方向可控计数器电路4.5 it柏环栈块参数化锁相环宏模块altpll 以输入时钟信号作为参考信号实现锁相,从而输出若干个同步倍频或者分频的片内 时钟信号。与直接来自片外的时钟相比,片内时钟可以 减少时钟延迟,减小片外干扰,还可改善时钟的建立时 间和保持时间,是系统稳定工作的保证。不同系列的芯 片对锁相环的支持程度不同,但是基本的参数设置大致 相同,下面便举例说明altpl 口的应用。输入宏功能模块IMU* 41OP ( U HH: 门产Ni, I* A M irdiCF-1七七D: F1 3 1CJfC?3J1 gI .封)CJXfCtJJ :ALTPLLVersion 6.07 si?il atwi F,一-P.pjEg,列pledge |)“白限心AM:上 njiriTcrJ -:-r r jjrrd PLLWhiM cferce spetd口启品 idl 户j be csing?fl “崛hd is the*puen卬 of tbsndockOirput?口CO.00| MH: *I lSe:LOf

      7、LL nLW5riX-icL-:t: Xi:kn口外的* PfawFI L t/crkhi =LL 1八*疝Luu Iif u.-f g?o卜制可厂nlrrgfFlL hJi UPU t*i eukmdH 禅上U为ttQ和4曲qpKhlcfc出0 PLL m Yorn 封口j*O In 5o(MCB*5yi|J imium Gcrtpension Modff C In IcfC Oehy Guffrc Pbtfc坨小 no cwpcnscnL: Crcotc on r itU 2 w门 exiemi 尸必b白匚vILxtcfngixd二口 odo) v/-kh wtM tlorft tj be qTxhatcd for?C3iccl do;:t . Cindi )选择芯片和设置参考时耕lecaYizard Pld-Iw Banacer Fpace 2 of ? 1ALTPLLVersion 6.0三亡工n/LarkT ParameterSetthgsGarpr科/Mod:Able to imetenrent the requested FLL就pl ICjnc2sresefOptional 城守,r B ri- e il 4- r * *,hr fe Ariaci r r d ifre Ariii i: rmi rrdd 回 br ea + l * rariri n e e +i !*酷 下! tent Fr* ri jdi rrift r i-r en iriri ,Ceace an pllena nput to selnczwely enable :hePLL ij! , r r a reaii r r r - i ( n r p h n , - rrFB*i iri ! , rw , ! 1 ! t rrRUws arit r ! i r r - i f i ri ri ! i ir- r rnar r

      《EDA第6章EDA应用工具深入(宏功能模块)》由会员cn****1分享,可在线阅读,更多相关《EDA第6章EDA应用工具深入(宏功能模块)》请在金锄头文库上搜索。

      点击阅读更多内容
    最新标签
    监控施工 信息化课堂中的合作学习结业作业七年级语文 发车时刻表 长途客运 入党志愿书填写模板精品 庆祝建党101周年多体裁诗歌朗诵素材汇编10篇唯一微庆祝 智能家居系统本科论文 心得感悟 雁楠中学 20230513224122 2022 公安主题党日 部编版四年级第三单元综合性学习课件 机关事务中心2022年全面依法治区工作总结及来年工作安排 入党积极分子自我推荐 世界水日ppt 关于构建更高水平的全民健身公共服务体系的意见 空气单元分析 哈里德课件 2022年乡村振兴驻村工作计划 空气教材分析 五年级下册科学教材分析 退役军人事务局季度工作总结 集装箱房合同 2021年财务报表 2022年继续教育公需课 2022年公需课 2022年日历每月一张 名词性从句在写作中的应用 局域网技术与局域网组建 施工网格 薪资体系 运维实施方案 硫酸安全技术 柔韧训练 既有居住建筑节能改造技术规程 建筑工地疫情防控 大型工程技术风险 磷酸二氢钾 2022年小学三年级语文下册教学总结例文 少儿美术-小花 2022年环保倡议书模板六篇 2022年监理辞职报告精选 2022年畅想未来记叙文精品 企业信息化建设与管理课程实验指导书范本 草房子读后感-第1篇 小数乘整数教学PPT课件人教版五年级数学上册 2022年教师个人工作计划范本-工作计划 国学小名士经典诵读电视大赛观后感诵读经典传承美德 医疗质量管理制度 2
    关于金锄头网 - 版权申诉 - 免责声明 - 诚邀英才 - 联系我们
    手机版 | 川公网安备 51140202000112号 | 经营许可证(蜀ICP备13022795号)
    ©2008-2016 by Sichuan Goldhoe Inc. All Rights Reserved.