电子文档交易市场
安卓APP | ios版本
电子文档交易市场
安卓APP | ios版本

用状态机设计多功能逻辑笔

9页
  • 卖家[上传人]:m****
  • 文档编号:472691927
  • 上传时间:2023-05-30
  • 文档格式:DOC
  • 文档大小:173.51KB
  • / 9 举报 版权申诉 马上下载
  • 文本预览
  • 下载提示
  • 常见问题
    • 1、多功能逻辑笔设计 班 级:电本1002班 姓 名: 指导老师: 完成时间:2014年5月15日 摘要随着当今时代的发展,我们在很多领域都需要操作简易,易于携带的检测装置来实现随时随地出现的设备故障的初步检测,为将来的维修做好准备。基于如此强烈的需求,我们很有必要对该课题进行一定的研究,从而掌握相关技术,为将来的研究打下基础。本文就是基于EDA的设计用状态机设计的多功能逻辑笔。关键词:EDA 状态机 多功能逻辑笔 目 录第一章 所用语言和运行环境41.1 Verilog HDL语言41.2 Quartus设计平台4第二章 设计要求5第三章 状态机简介6第四章 程序及仿真结果74.1 实验程序74.2 仿真结果9第五章 设计总结9 第一章 所用语言和运行环境1.1 Verilog HDL语言随着集成电路规模的越来越大,数字系统设计的越来越复杂,VerilogHDL语言在硬件电路设计的优越性也会越来越显突出。目前,数百万门规模的FPGA/CPLD已进入实用,VerilogHDL强大的系统描述能力、规范的设计结构和简洁、灵活的编程风格会使其必将能会用来完成大数字系统、超大规模数字系统的几乎全部

      2、设计任务。VerilogHDL语言的优势:由于它在其门级描述的底层,也就是晶体管开关的描述方面比VHDL等各种其它的HDL语言有更强的功能。所以在复杂数字逻辑电路和系统的设计仿真时更有优势;描述的设计思想、电路结构和逻辑关系清晰明了,并且设计语言简练、易学易用;其模块化分层结构在大规模设计时更能体现出优势。因此可以看出,VerilogHDL语言在EDA设计中相对与其他的各种硬件描述语言更有优势。1.2 Quartus设计平台 Quartus II 是Altera公司的综合性PLD开发软件,支持原理图、VHDL、VerilogHDL以及AHDL(Altera Hardware Description Language)等多种设计输入形式,内嵌自有的综合器以及仿真器,可以完成从设计输入到硬件配置的完整PLD设计流程。 Quartus II可以在XP、Linux以及Unix上使用,除了可以使用Tcl脚本完成设计流程外,提供了完善的用户图形界面设计方式。具有运行速度快,界面统一,功能集中,易学易用等特点。Quartus II支持Altera的IP核,包含了LPM/MegaFunction宏功能

      3、模块库,使用户可以充分利用成熟的模块,简化了设计的复杂性、加快了设计速度。对第三方EDA工具的良好支持也使用户可以在设计流程的各个阶段使用熟悉的第三方EDA工具。此外,Quartus II 通过和DSP Builder工具与Matlab/Simulink相结合,可以方便地实现各种DSP应用系统;支持Altera的片上可编程系统(SOPC)开发,集系统级设计、嵌入式软件开发、可编程逻辑设计于一体,是一种综合性的开发平台。Maxplus II 作为Altera的上一代PLD设计软件,由于其出色的易用性而得到了广泛的应用。目前Altera已经停止了对Maxplus II 的更新支持,Quartus II 与之相比不仅仅是支持器件类型的丰富和图形界面的改变。Altera在Quartus II 中包含了许多诸如SignalTap II、Chip Editor和RTL Viewer的设计辅助工具,集成了SOPC和HardCopy设计流程,并且继承了Maxplus II 友好的图形界面及简便的使用方法。Altera Quartus II 作为一种可编程逻辑的设计环境, 由于其强大的设计能力和直观易用

      4、的接口,越来越受到数字系统设计者的欢迎。 第二章 设计要求 设计一个5功能逻辑笔,要求能测高电平(高于2.5V)、低电平(低于1V)、中电平(低于2.5V、高于1V)、高阻态和脉冲(即快速变化的电平)。要求用5个发光二极管分别显示这五种结果。 第三章 状态机简介 状态机简写为FSM(Finite State Machine),主要分为2大类:第一类,若输出只和状态有关而与输入无关,则称为Moore状态机;第二类,输出不仅和状态有关而且和输入有关系,则称为Mealy状态机。要特别注意的是,因为Mealy状态机和输入有关,输出会受到输入的干扰,所以可能会产生毛刺(Glitch)现象,使用时应当注意。事实上现在市面上有很多EDA工具可以很方便的将状态图的描述转换成可以综合的VHDL程序代码。 状态机可归纳为4个要素,即现态、条件、动作、次态。这样的归纳,主要是出于对状态机的内在因果关系的考虑。“现态”和“条件”是因,“动作”和“次态”是果。详解如下: 现态:是指当前所处的状态。 条件:又称为“事件”,当一个条件被满足,将会触发一个动作,或者执行一次状态的迁移。 动作:条件满足后执行的动作。动

      5、作执行完毕后,可以迁移到新的状态,也可以仍旧保持原状态。动作不是必需的,当条件满足后,也可以不执行任何动作,直接迁移到新状态。 次态:条件满足后要迁往的新状态。“次态”是相对于“现态”而言的,“次态”一旦被激活,就转变成新的“现态”了。 第四章 程序及仿真结果4.1 实验程序 module LGC_PEN (CLK, V0,TEST,LED); input CLK; input 2:1 V0; output 4:0 LED; output TEST; parameter s0=0,s1=1,s2=2,s3=3,s4=4,s5=5,s6=6,s7=7,s8=8, s9=9,s10=10,s11=11,s12=12,s13=13; reg4:0 ST,NST; reg TEST; reg3:0 LED ; always (posedge CLK ) ST=NST; always (ST or V0) begin case (ST) s0: begin TEST=1b1; NST=s1; end s1: begin TEST=1b1; if (V0=2b10) NST=s2; else NS

      6、T=s4; end s2: begin TEST=1b0; NST=s3; end s3: begin TEST=1b0; begin if (V0=2b01) begin LED =4b1000; NST=s0; end else NST=s4; end end s4: begin if (V0=2b01) NST=s5; else NST=s7; end s5: begin if (V0=2b01) NST=s6; else NST=s7; end s6: begin if (V0=2b01) begin LED=4b0001; NST=s0; end else NST=s7; end s7: begin if (V0=2b10) NST=s8; else NST=s10; end s8: begin if (V0=2b10) NST=s9; else NST=s10; end s9: begin if (V0=2b10) begin LED=4b0010; NST=s0; end else NST=s10; end s10: begin if (V0=2b11) NST=s11; else NST=s13; end s11: begin if (V0=2b11) NST=s12; else NST=s13; end s12: begin if (V0=2b11) begin LED=4b0100; NST=s0; end else NST=s13; end s13: begin LED=4b1111; NST=s0; end default: NST=s0; endcase end endmodule 4.2 仿真结果 第五章 设计总结 通过这次的课题研究,我们深入的了解到了逻辑笔的运作流程,并通过状态机实现了该课题的要求效果,为将来更深远的研究奠定了基础。

      《用状态机设计多功能逻辑笔》由会员m****分享,可在线阅读,更多相关《用状态机设计多功能逻辑笔》请在金锄头文库上搜索。

      点击阅读更多内容
    最新标签
    监控施工 信息化课堂中的合作学习结业作业七年级语文 发车时刻表 长途客运 入党志愿书填写模板精品 庆祝建党101周年多体裁诗歌朗诵素材汇编10篇唯一微庆祝 智能家居系统本科论文 心得感悟 雁楠中学 20230513224122 2022 公安主题党日 部编版四年级第三单元综合性学习课件 机关事务中心2022年全面依法治区工作总结及来年工作安排 入党积极分子自我推荐 世界水日ppt 关于构建更高水平的全民健身公共服务体系的意见 空气单元分析 哈里德课件 2022年乡村振兴驻村工作计划 空气教材分析 五年级下册科学教材分析 退役军人事务局季度工作总结 集装箱房合同 2021年财务报表 2022年继续教育公需课 2022年公需课 2022年日历每月一张 名词性从句在写作中的应用 局域网技术与局域网组建 施工网格 薪资体系 运维实施方案 硫酸安全技术 柔韧训练 既有居住建筑节能改造技术规程 建筑工地疫情防控 大型工程技术风险 磷酸二氢钾 2022年小学三年级语文下册教学总结例文 少儿美术-小花 2022年环保倡议书模板六篇 2022年监理辞职报告精选 2022年畅想未来记叙文精品 企业信息化建设与管理课程实验指导书范本 草房子读后感-第1篇 小数乘整数教学PPT课件人教版五年级数学上册 2022年教师个人工作计划范本-工作计划 国学小名士经典诵读电视大赛观后感诵读经典传承美德 医疗质量管理制度 2
    关于金锄头网 - 版权申诉 - 免责声明 - 诚邀英才 - 联系我们
    手机版 | 川公网安备 51140202000112号 | 经营许可证(蜀ICP备13022795号)
    ©2008-2016 by Sichuan Goldhoe Inc. All Rights Reserved.