好文档就是一把金锄头!
欢迎来到金锄头文库![会员中心]
电子文档交易市场
安卓APP | ios版本
电子文档交易市场
安卓APP | ios版本

组合逻辑3-8译码器.doc

8页
  • 卖家[上传人]:平***
  • 文档编号:14242709
  • 上传时间:2017-10-28
  • 文档格式:DOC
  • 文档大小:1.31MB
  • / 8 举报 版权申诉 马上下载
  • 文本预览
  • 下载提示
  • 常见问题
    • EDA基础及应用实验项目报告项目题目: 组合逻辑 3-8 译码器的设计 姓 名: 胡小琴 院 系:电子信息工程学院 专 业:电子信息工程(对口高职)学 号: 201315294127 指导教师: 徐正坤 综合成绩: 完成时间 : 2015 年 5 月 13 日一、实验目的:1、通过 3-8 译码器的设计,让学生掌握组合逻辑电路的设计方法2、掌握组合逻辑电路的静态测试方法3、初步了解可编程器件设计的全过程二、实验步骤:74LS138 译码器的逻辑电路图 1 所示,其真值表如 1 所示,这里输出时低电平有效从 74LS138 译码器的逻辑电路图可以看出,它具有三个附加的控制端 G1、G2A、和G2B当 G1=1、G2A+G2B=0 的时候,译码器将处在译码工作状态;否则译码器将被禁止,所有的输出端将被封锁在高电平,如真值表所示。

      实际上,这三个输出端也可叫做“片选”输入端,利用片选的作用可以将多片 74LS138 译码器连接起来以扩展译码器的功能,例如用两片 74LS138 可以连接成一个四线 —十六线译码器7 4 L S 1 3 8ABCG 1G 2 AG 2 BY 0Y 1Y 2Y 3Y 4Y 5Y 6Y 7图 1 74LS138 译码器逻辑图表 1 74LS138 译码器的真值表 G1 G2A G2B C B A Y0 Y1 Y2 Y3 Y4 Y5 Y6 Y7X 1 X X X X 1 1 1 1 1 1 1 1X X 1 X X X 1 1 1 1 1 1 1 10 X X X X X 1 1 1 1 1 1 1 11 0 0 0 0 0 0 1 1 1 1 1 1 11 0 0 0 0 1 1 0 1 1 1 1 1 11 0 0 0 1 0 1 1 0 1 1 1 1 11 0 0 0 1 1 1 1 1 0 1 1 1 11 0 0 1 0 0 1 1 1 0 1 1 11 0 0 1 0 1 1 1 1 1 1 0 1 11 0 0 1 1 0 1 1 1 1 1 1 0 11 0 0 1 1 1 1 1 1 1 1 1 1 0输出控制:M[3:0]=0001 使实验箱的拨动开关 SW1~SW16 接到 16 位数据总线1、打开 QuartusII 软件。

      2、选择路径选择 File/New Project Wizard,指定工作目录,指定工程和顶层设计实体称;注意:工作目录名不能有中文3、选择 FPGA 器件Family 选择 Cyclone IV E,Available device 选 EP4CE22F17C8,Packge选择 Any,Pin Count 选择 256,Speed grade 选择 Any;点击 “Next”4、选择外部综合器、仿真器和时序分析器Quartus II 支持外部工具,可通过选中来指定工具的路径默认使用 Quartus II 自带的工具这里我们对仿真工具做选择,如下图所示5、结束设置单击“Next” ,弹出“工程设置统计”窗口,上面列出了工程的相关设置情况最后单击“Finish” ,结束工程设置8 将全加器的 VHDL 代码输入上图空白处代码:LIBRARY IEEE;USE IEEE.STD_LOGIC_1164.ALL;ENTITY decoder_3_8 ISPORT(A,B,C,G1,G2A,G2B:IN STD_LOGIC;y:OUT STD_LOGIC_VECTOR(7 downto 0);M : out std_logic_vector(3 downto 0)) ; --加入 4 位功能选择位 );END decoder_3_8;ARCHITECTURE rtf OF decoder_3_8 ISsignal input:STD_LOGIC_VECTOR(2 downto 0);BEGINM yyyyyyyyy Assignments Device… Device Device & Pin Options… Unused Pins Reserve all unused pins : AS input tri-stated。

      如未将未分配管脚置为三态输入,将可能导致主芯片或外围芯片损坏,属人为使用不当,公司将不负责11 全局编译Processing->Start Compilation12、下载下载可以选择 JTAG 方式和 AS 方式(JTAG 下载方式把文件直接下载到 FPGA里面,AS 下载方式把文件下载到配置芯片里面,因此可以掉电存储) 选择 Tools->Programmer,mode 选择 JTAG 下载方式,选择 Add File,添加.sof 文件(AS 下载选择.pof 文件)并选中 Program/Configure,点击“Start”后开始下载第一次使用下载时,首先点击“Hardware Setup...”,打开 Hardware Setup 对话框,然后点击 Currently selected hardware右边的选择箭头,选择 USB -Blaster 后单击”Close” 关闭对话框然后可以在下载窗口的按键 Hardware Setup 的右边看到有“USB-Blaster ”选择下载文件, 点击“Start”开始下载,并可以看到下载进度 三、实验接线及说明 A、B、C 、 G1、G2AN、G2BN 分别对应实验箱底板 SW1—SW6Y0N—Y7N 分别对应实验箱底板 IO9—IO16 实验接线:用导线将 IO9—IO16 与 8 位 LED L1—L8 相连,LED 为高电平点亮控制拨码开关 SW1—SW6,观察 L1—L8 显示状态是否与预期输出结果一置。

      4、项目试验结果 G1 G2A G2B C B A Y0 Y1 Y2 Y3 Y4 Y5 Y6 Y7X 1 X X X X 1 1 1 1 1 1 1 1X X 1 X X X 1 1 1 1 1 1 1 10 X X X X X 1 1 1 1 1 1 1 11 0 0 0 0 0 0 1 1 1 1 1 1 11 0 0 0 0 1 1 0 1 1 1 1 1 11 0 0 0 1 0 1 1 0 1 1 1 1 11 0 0 0 1 1 1 1 1 0 1 1 1 11 0 0 1 0 0 1 1 1 0 1 1 11 0 0 1 0 1 1 1 1 1 1 0 1 11 0 0 1 1 0 1 1 1 1 1 1 0 11 0 0 1 1 1 1 1 1 1 1 1 1 0输出控制:M[3:0]=0001 使实验箱的拨动开关 SW1~SW16 接到 16 位数据总线5、实验结果分析通过本次实验,得知实验结果与真值表结果一致但是,G1 为低电平时,无效只有当 G1 为高电平时有效6、实验注意事项 1、在本次实验中应注意每个针脚与 LED 上的连线,应一一对应,不然会导致实验出现错误 2、还有针脚的设置一定要按照实验指导书上的针脚一一设定 3、注意一定要检查硬件驱动的安装。

      点击阅读更多内容
      关于金锄头网 - 版权申诉 - 免责声明 - 诚邀英才 - 联系我们
      手机版 | 川公网安备 51140202000112号 | 经营许可证(蜀ICP备13022795号)
      ©2008-2016 by Sichuan Goldhoe Inc. All Rights Reserved.