好文档就是一把金锄头!
欢迎来到金锄头文库![会员中心]
电子文档交易市场
安卓APP | ios版本
电子文档交易市场
安卓APP | ios版本

QuartusII入门详细教程实例讲解.doc

18页
  • 卖家[上传人]:鲁**
  • 文档编号:384345167
  • 上传时间:2023-01-15
  • 文档格式:DOC
  • 文档大小:887.50KB
  • / 18 举报 版权申诉 马上下载
  • 文本预览
  • 下载提示
  • 常见问题
    • Quartus II 入门详细教程实例讲解写在前面:1. 本教程适合以前没有接触过 QuartusII开发软件的新手,本教程是基础的入门, 后续的学习还得大家自己努力2. 本教程非常详细手把手带大家入门, 网上现存的很多教程,有的过于跳跃,难以跟上;有的遇到错误,但教程没有指出,导致我们不知道怎么做3. 本教程首先通过简单的仿真实验带大家入门 VHDL源代码会附在文档最后4. 本教程使用Quartus II 9.1 版本进行演示,其他版本的操作差别不是太大,也可以进行学习目录一、 Quartus II 开发软件墓本介绍 —* 1二、 用3-8译码联的徙汁介绍Quart" [I的爆本便用力眩(¥HDL仿典) 2注 1.2三-用原理图进行物篦(川耳门柞为例r进行仿直)— b1% V1DL源程序 附录 153-8悸码器 15■ I J ■ ■ ■ r r ■,”-I r - ■ ■ I ■ r ■ k d - rn t b I r ■ I d ■ ■ I ■ ■” ■■■nlBBnri I i - ■ ■ ■ ■ n I ■ ■ ■,” r r 1二输人或门 15非门 16—位全加駄 ”…]6一、Quartus II开发软件基本介绍1.1 Quartus U简介Quartus n是Altera 公司推出的专业 EDAX具,支持原理图输入、硬件描 述语言的输入等多种输入方式。

      硬件描述语言的输入方式是利用类似高级程序的 设计方法来设计出数字系统1.2 Quartus n开发流程使用Quartus II软件进行开发的流程如图1.2.1所示需注意的是,Quartus II还可以使用命令行模式的TCL批处理脚本进行自动流程控制j3b.! It 1: jL L厂1IA~7T匚图1.2.1 Quartus n开发流程二、用3-8译码器的设计介绍Quartus n的基本使用方法(VHD仿真)1.1打开软件双击桌面安装好的Quartus n 9.1图标,打开软件,主页面如图1所示图1在图1中,1区为菜单栏:软件所有功能的控制选项都可以在其下拉菜单中 找到2区为快捷工具栏:提供设置(setting ),编译(compile )等快捷方式, 方便用户使用,用户也可以在菜单栏的下拉菜单找到相应的选项 3区为资源管理窗口4区为编译及综合的进度栏:编译和综合的时候该窗口可以显示进度, 当显示100礎表示编译或者综合通过5区为工作区6区为信息栏:编译或者 综合整个过程的详细信息显示窗口,包括编译通过信息和报错信息2.2新建工程运行菜单命令“ File->New Project Wizard ”, 打开新工程向导,首先出现如图2所示的工程向导介绍对话框。

      点击 Next按钮,进入如图3所示的下一设置页面,在其中设置工程目录、工程名称、顶层设计实体名称工程目录点击 _1选择我们提前在 D盘altera文件中的SCU文件夹,工程名称为liuzhen,顶层设计实体 名称自动与工程名称相同血护in WiLMit Top -Lhw4 &1酗 i crfij Kr 阵 Iw hi第7页共16页* Bui | Wed: n | 总沁 <11图2工程向导介绍 图3工程设置点击Next按钮,进入如图4所示的对话框,在其中可以添加已存在的文件 至工程和设定库的路径,我们在此可跳过接着出现如图5所示的目标器件系列 和具体芯片型号的设置对话框,如图5所示,用户可根据实际所使用的目标芯片 和Quartusll的支持情况具体进行设置,当Quartusll不能支持时,则需要更换 目标芯片或开发工具(MAX+plus II)因为本次测试只进行设计和软件仿真,不 进行硬件仿真,故任意选择点击“ Next”进入下一步设置,选择仿真,综合工 具本次实验全部利用quartus做,三项都选None如图6所示然后next,最 后将给出一个工程信息摘要,如图 7所示,点击Finish按钮,完成工程建立并 退出向导过程。

      triMfr Airi^rl 川沖 Md h m 2 蓟 «|■KL P-H L. STiT mK"』灯 iX^s Air-- gI痕世>^di ■ i iiN»iVp* picl! WlUlll f irnh & DPilfft *M«Tri||ii fM■戸 3 Df-MNew ・ | FMi I U1/lrll"!:.■鹑・¥・ M *u^v-ift|¥i «itf< ~V^T E I-M ± 啊 FB 貝*N p斗*t 吐 d4r^hllkE U 帕 UWJ *FT!* nsa・■1L-n^wwf >»wl«图4添加文件至工程4IT334IWI1WJI皿咗:Ml 5226uit]WW41J- " Hi-l图5器件系列和目标芯片设置W«w *iu>- : WdMd!电IM Wlt^v 沁・> ”曰'賢« 也 t,- -rv i-ri-w F.'J m-r - n .vHlt » Z心 W1411 :Maja* =■ ukI «-JhiRmp>Ti^^^rrri■atM QftabM.vHi fa** mHDNL4-in>4rt^* 甲T#9H・ !|b・W$■ ■■tti (Hawtsv^nbcii口1」・ 1胪,rv't图6选择仿真,综合工具 图7工程信息摘要2.3创建VHDL文件,编写程序0K运行菜单命令“ File->New ”,如图8所示,点击“ VHDLFile ”,然后点击NewSOPC BiJdefH恤呵AHDLF・EM C'usQiw^Schwr-abc- Fh E DIF FitStale Mac hn Fie帥臨袖■财蜿HDl Fie TdSaipiFikL #"tog HDL Ffe 「一KHU3---@ Memay Fiei14 魁祐颐 羊 IlnifiJFcwiM FitMmuiy 曲jh冲了Men Fir3 讪号 Fife±In 5/ti.tJn Souiwi or>d Prot*; Fito- Lage Arw^m I 仙1 抚亡 Fie Si^wfT*c II Lw Ane^Eti Ffc V»rrrr Waviiffm :Ffe白 Gtha Fde±AHDLIndLKteFhRtedk 彌bd FileCM*^ D*w申ton Fife匚pnoew片Dh on肝『占呼匕F l曹• ' erf 任创 TesU MnHow HtAp-3图9]H #申艰J二—--TVw&eavl^aa"WL*«> b <"*UlLL101i*Whe*b ^*11111 Ml*vbea■dll"£□0^-? Elwbea"101> b <-■11011111*to c^iomui"■111*-? to <*■ Dili 1111"ct^eraiis E -fi x _ _«ndU工口 BK^vxq^OiI;3-8译码器的VHDL描述源文件如下:LIBRARY IEEE;USE IEEE.STD_LOGIC_1164.ALL;ENTITY decoder_38 isport( a: in std_logic_vector(2 dow nto 0);b: out std_logic_vector(7 dow nto 0));end decoder_38;architecture Behavioral of decoder_38 isbeginprocess(a)begincase a iswhe n "000"=> b <="11111110";whe n "001"=> b <="11111101";whe n "010"=> b <="11111011";whe n "011"=> b <="11110111";whe n "100"=> b <="11101111";whe n "101"=> b <="11011111";whe n "110"=> b <="10111111";whe n "111"=> b <="01111111";when others=> b <="11111111";end case;end process;end Behavioral;把程序写在图9所示VHDL文件中,接着按Ctrl+S保存,文件保存在我们的工程文件夹 SCU中,文件名为 decoder_38.vhd,勾选 Add file to current project。

      如图 10—scuX筐j 口〒n^EVl2他伸珅诵2迹賈帕®:僅磁空促)孑 Add life r.o c Jiit< ■ >■ ► r^isnr Ti・i:Pg 酗vlvti/iJ: . v hd.田梧IT.1 A »tLlNUi j" 冲wdl Hl-A nil.• EPA KveIait lr11►t1*I «P S £■“丄 M 町 Lk ti 呻・ C>*f <1^ ^vii-En审亠• inti戸I. ■ • S^nlL»kk i► Pm ttw CFl-ferv Inw rilled -帕口伽L*毘計耨咖 1 fruill 2£f SJ full v«r|.i[■LPdh^liiuKkjjSUktkd U机RV 島■Hl1/A ratilMb濡wdl 秒m 机 vstil If.人 lat i,!F wtiPirtJ tiKn Firla tAM pM-tlthPji firtitiiA FvillE^aAF u* ta 11: vj) F af f 31 k ah firthioP。

      点击阅读更多内容
      手机版 | 川公网安备 51140202000112号 | 经营许可证(蜀ICP备13022795号)
      ©2008-2016 by Sichuan Goldhoe Inc. All Rights Reserved.