电子文档交易市场
安卓APP | ios版本
电子文档交易市场
安卓APP | ios版本

数字系统设计-秒表设计

16页
  • 卖家[上传人]:i****
  • 文档编号:88590643
  • 上传时间:2019-05-04
  • 文档格式:DOC
  • 文档大小:1.72MB
  • / 16 举报 版权申诉 马上下载
  • 文本预览
  • 下载提示
  • 常见问题
    • 1、深 圳 大 学 实 验 报 告 课程名称: 数字系统设计 实验项目名称: Verilog秒表设计 学院: 信息工程学院 专业: 电子信息工程 指导教师: 邓小莺 报告人: 陈耀省 学号:2010130267 班级: 电子三班 实验时间: 2012年11月 实验报告提交时间: 2012年11月28日 教务部制一、实验目的1、进一步熟悉ISE软件的使用,熟悉FPGA开发流程;2、掌握编写Verilog代码的步骤,学会绘制ASM图;3、学会自顶向下的设计方法,使用不同的模块实现系统的设计。二、实验设备 1、装有ISE软件的PC机一台;2、Nexys3开发板一块。三、实验内容与要求设计一个秒表它具有计时功能。此秒表有两个按键(reset, start)按下reset键后,秒表清零。开始默认秒表计时,按下start键后,停止计时,再次按下start键后,又开始计时,如此反复。 用FPGA开发板上的两个七段数码管显示时间(以秒为单位),计时由0 到 59 循环。三、实验步骤 1、设计系统框图,设计采取自顶向下的设计方案,整个秒表系统的原理图如下所示。主要包括五个模块按键消抖模块、分频模块、按键功能

      2、控制模块、计数模块、数码管驱动显示模块。2、根据系统的原理图,画出各个模块的ASM图。(1)利用D触发器对按键进行消抖处理,其电路图如下。利用下面这个电路即可实现对start键和reset键的消抖。只有连续输入三个周期的高电平时,按键才有效。(2)分频模块的ASM图。此ASM图将100MHz的信号分频为100Hz的信号,用于计数和数码管的扫描。(3)start键与reset键功能控制模块的ASM图。图中rst为复位信号,sta为计时信号。利用状态机实现不同状态之间的转换并输出复位信号与计时信号。默认状态是start_time,即计时状态。(4)计数模块的ASM图。输入100Hz的时钟用于计数,当复位信号有效时,将所有的数全部置0。否则sta信号有效时开始计数。最后输出秒位与十秒位。(5)数码管驱动模块的ASM图。使用100Hz的信号扫描数码管,并将对应的数字以十进制形式显示在数码管上。因为只用到两个数码管,所以前两个数码管置1,即保持不亮,只点亮后两个数码管。3、根据所绘制各个模块的ASM图和系统的原理图,编写Verilog代码。所编写的代码如下。/*秒表顶层模块*/module st

      3、opwatch(clk,reset,start,led,outdata);input clk,reset,start;output led;output outdata;wire clk_100,freset,fstart,rst,sta;wire 3:0data_s,data_g;wire 3:0led;wire 6:0outdata;xiaodou m1(.reset(reset),.start(start),.clk(clk),.freset(freset),.fstart(fstart);fenpin m2(.clk(clk),.clk_100(clk_100);f_start m3(.clk(clk),.reset(reset),.start(start),.freset(freset),.fstart(fstart),.rst(rst),.sta(sta);jishu m4(.clk_100(clk_100),.rst(rst),.sta(sta),.data_s(data_s),.data_g(data_g);qudong m5(.clk_100(clk_100),.da

      4、ta_s(data_s),.data_g(data_g),.outdata(outdata),.led(led);endmodule/*/*分频模块*/module fenpin(clk,clk_100);input clk;output clk_100;reg clk_100=0;reg 18:0temp=0;always (posedge clk)beginif (temp=499999)begintemp=0;clk_100=clk_100;endelse begintemp=temp+1;end endendmodule/*/*按键消抖*/module xiaodou(reset,start,clk,freset,fstart);input reset,start,clk;output freset,fstart;wire freset,fstart;reg flag_reset,flag_start;reg resetA,startA, resetB,startB;assign freset=(resetA & resetB & flag_reset); assign fst

      5、art=(startA & startB & flag_start);always ( posedge clk)beginresetA=reset; startA=start;resetB=resetA; startB=startA;flag_reset=resetB; flag_start=startB;endendmodule/*/*start与reset键功能控制*/module f_start(clk,reset,start,freset,fstart,rst,sta);input clk,reset,start,freset,fstart;output rst,sta;reg 1:0state=2b01; /默认是计时状态,即start_timereg rst=1;reg sta=0;parameter reset_time =2b00, start_time =2b01, stop_time =2b10;always (posedge clk) if (freset & !reset) /按键按下放开后的第一个时钟周期有效 begin state=reset_time; r

      6、st=0; end else case (state) reset_time: if (fstart & !start) begin state=start_time; rst=1; sta=0; end else begin state=reset_time; rst=0; end start_time: if (fstart & !start) begin state=stop_time; rst=1; sta=1; end else begin state=start_time; rst=1; sta=0; end stop_time: if (fstart & !start) begin state=start_time; rst=1; sta=0; end else begin state=stop_time; rst=1; sta=1; end default: state=2bxx;endcase endmodule/*/*计数模块*/module jishu(clk_100,rst,sta,data_s,data_g);input clk_100,rst,sta;output 3:0data_s,data_g;reg 3:0data_s=4b0000;reg 3:0data_g=4b0000;reg 3:0temp1=4b0000;reg 3:0temp2=4b0000;always (posedge clk_100)if (!rst)begintemp1=4b0000; temp2=4b0000;data_s=4b0000; data_g=4b0000;endelse if (!sta) begin if(temp1=9) begin temp1=0; if(temp2=9) begin tem

      《数字系统设计-秒表设计》由会员i****分享,可在线阅读,更多相关《数字系统设计-秒表设计》请在金锄头文库上搜索。

      点击阅读更多内容
    最新标签
    监控施工 信息化课堂中的合作学习结业作业七年级语文 发车时刻表 长途客运 入党志愿书填写模板精品 庆祝建党101周年多体裁诗歌朗诵素材汇编10篇唯一微庆祝 智能家居系统本科论文 心得感悟 雁楠中学 20230513224122 2022 公安主题党日 部编版四年级第三单元综合性学习课件 机关事务中心2022年全面依法治区工作总结及来年工作安排 入党积极分子自我推荐 世界水日ppt 关于构建更高水平的全民健身公共服务体系的意见 空气单元分析 哈里德课件 2022年乡村振兴驻村工作计划 空气教材分析 五年级下册科学教材分析 退役军人事务局季度工作总结 集装箱房合同 2021年财务报表 2022年继续教育公需课 2022年公需课 2022年日历每月一张 名词性从句在写作中的应用 局域网技术与局域网组建 施工网格 薪资体系 运维实施方案 硫酸安全技术 柔韧训练 既有居住建筑节能改造技术规程 建筑工地疫情防控 大型工程技术风险 磷酸二氢钾 2022年小学三年级语文下册教学总结例文 少儿美术-小花 2022年环保倡议书模板六篇 2022年监理辞职报告精选 2022年畅想未来记叙文精品 企业信息化建设与管理课程实验指导书范本 草房子读后感-第1篇 小数乘整数教学PPT课件人教版五年级数学上册 2022年教师个人工作计划范本-工作计划 国学小名士经典诵读电视大赛观后感诵读经典传承美德 医疗质量管理制度 2
    关于金锄头网 - 版权申诉 - 免责声明 - 诚邀英才 - 联系我们
    手机版 | 川公网安备 51140202000112号 | 经营许可证(蜀ICP备13022795号)
    ©2008-2016 by Sichuan Goldhoe Inc. All Rights Reserved.