电子文档交易市场
安卓APP | ios版本
电子文档交易市场
安卓APP | ios版本

基于FPGA的异步收发器程序的设计说明

20页
  • 卖家[上传人]:大米
  • 文档编号:512529293
  • 上传时间:2023-06-16
  • 文档格式:DOC
  • 文档大小:895KB
  • / 20 举报 版权申诉 马上下载
  • 文本预览
  • 下载提示
  • 常见问题
    • 1、毕业设计设计题目 基于FPGA的异步收发器设计学 院:物理科学与工程技术学院 专 业: 电子信息工程 年 级: 10级 姓 名: 淑珍 指导教师: 王 永 祥 职 称: 副 教 授 (2013 年 6月)学院教务处制基于FPGA的异步收发器设计 学院 物理科学与工程技术学院 电子信息工程 扬 指导老师: 王永祥摘要:文章简要介绍了UART的基本功能,采用Verilog HDL语言作为硬件功能的描述,运用模块化设计方法设计了通用异步收发器的发送模块、接收模块和波特率发生器。实现了基于FPGA的UART基本功能设计,并给出了UART的软件编程实例.关键字:Verilog HDL;FPGA;UARTBased on SCM ultrasonic ranging system Design( YiChun University Physical science and engineering institute of technologyLi Yang)Abstract: this paper briefly introduces the basic function of UART, the

      2、 Verilog HDL language as a description of the hardware function, using modular design method to design the general asynchronous transceiver module, receive send the module and baud rate generator. Realized the basic function of UART which based on FPGA , and gives the UART software programming examples. Key word: Verilog HDL; FPGA; UART; A / 引言21 . UART简介21.1 UART结构21.2 UART的帧格式21.3 UART的基本原理22 UART的设计与实现32.1 UART发送器32.2 UART接收器42.3数码管动态显示52.4 波特率发生器62.5 UART设计总模块63.FPGA UART系统组成74.模块设计84.1. 顶层模

      3、块84.2波特率发生器104.3 UART发送器114.4 UART接收器155结语176.参考文献18引言由于微电子学和计算机科学的迅速发展,给EDA(电子设计自动化)行业带来了巨大的变化。特别是进入20世纪90年代后,电子系统已经从电路板级系统集成发展成为包括ASIC、FPGA/CPLD和嵌入系统的多种模式。可以说EDA产业已经成为电子信息类产品的支柱产业。EDA之所以能蓬勃发展的关键因素之一就是采用了硬件描述语言(HDL)描述电路系统。就FPGA和CPLD开发而言,比较流行的HDL主要有Verilog HDL、VHDL、ABEL-HDL和 AHDL 等,其中VHDL和Verilog HDL因适合标准化的发展方向而最终成为IEEE标准。下面的设计就是用VHDL来完成实现的。1 . UART简介UART(即Universal Asynchronous Receiver Transmitter 通用异步收发器)是一种应用广泛的短距离串行传输接口。UART允许在串行链路上进行全双工的通信。 UART主要有由数据总线接口、控制逻辑、波特率发生器、发送部分和接收部分等组成。功能较为简单,但使

      4、用方便、占用资源少,可以灵活地嵌入到各种设计之中。串行外设用到的RS232-C异步串行接口,一般采用专用的集成电路即UART实现。使用VHDL将UART的核心功能集成,从而使整个设计更加紧凑、稳定且可靠。1.1 UART结构UART主要有由数据总线接口、控制逻辑、波特率发生器、发送部分和接收部分等组成。1.2 UART的帧格式UART是异步通信方式,发送方和接收方分别有各自独立的时钟,传输的速度由双方约定,使用起止式异步协议。起止式异步协议的特点是以每一个字符为单位进行传输,字符之间没有固定的时间间隔要求,每个字符都以起始位开始,以停止位结束。帧的格式如图一所示,每一个字符的前面都有一位起始位(低电平,逻辑值0),字符本身有5到8比特数据位组成,接着是一位校验位(也可以没有校验位),最后是一位(或一位半、二位)停止位,停止位后面是不定长度的空闲位。停止位和空闲位都规定为高电平,这样就保证了起始位开始处一定有一个下降沿。从图中可以看出,这种格式是靠起始位和停止位来实现字符的界定或同步的,故称为起止式协议。UART的帧格式的示意图如图一所示:图一 基本UART帧格式1.3 UART的基本原

      5、理基本的UART通信只需要两条信号线:RXD和TXD,TXD是UART的发送端,RXD是UART的接收端,接收与发送是全双工工作的。通过在串行端口上使用调制解调器,串行数据可以通过线进行长距离的收发(图二)。用于收发串行数据的串行通信接口通常称为UART(通用异步收发机)。UART串行数据传输的示意图如图二所示:图二 串行数据传输发送数据过程:空闲状态,线路处于高电位,当收到发送数据指令后,拉低线路一个数据位的时间T,接着数据按低位到高位依次发送,数据发送完毕后,接着发送奇偶校验位和停止位(停止位为高电位),一帧数据发送结束。接收数据过程:空闲状态,线路处于高电位,当检测到线路的下降沿(线路电位由高电位变为低电位)说明线路有数据传输,按照约定的波特率从低位到高位接收数据,数据接收完毕后,接着接收并比较奇偶校验位是否正确,如果正确则通知后续设备准备接收数据或存入缓存。2 UART的设计与实现2.1 UART发送器串行发送数据时每秒钟发送的比特个数称之为波特率,常用串行口波特率有9600、19200、115200等多种。UART的数据帧的形式分组发送数据,以8位数据位、1位起始位和1位停止

      6、位的帧格式为例,每一个数据帧由10位数据构成,首先是一个低电平起始位来标志帧开始,随后由低至高发送8位数据,最后是1位高电平的停止位。在逻辑结构上,每秒9600次的发送节拍由波特率发生器产生,它是一个参数化、分频比的整数分频器。这里使用边沿逻辑,对于分频时钟的占空比并没有要求,所以直接用模n计算器进行分频。9600Hz的时钟信号驱动10bit的一位计数器,将数据总线上载入的8bit数据加上起始位和停止位后由低到高依次移除。发送逻辑的结构框图如图三所示: 图三 发送结构框图UART发送器的发送流程图如图四所示:图四 UART发送器的发送流程图2.2 UART接收器UART是异步传输接口,没有时钟信号同步。所以接收端需要进行过采样来保证数据的接收,RS232标准规定的过采样率是以发送波特率的16倍时钟对数据进行检测。UART接收逻辑通过检测TxD上起始位的下降沿作为帧同步标准,这样就相当于把每个位分成了16份,为了避免干扰取16份中位于中部的6、7、8三个采样进行判别,以它们中两个或两个以上一样的值作为采样结果。接收器结构框图如图五所示:图五 接收结构框图接收器的接收原理流程图如图六所示:

      7、图六 接收器的接收原理流程图2.3数码管动态显示图八所示的是共阳数码管与其电路,其中每个数码管的8个段: h、g、f、e、d、c、b、a(h是小数点)都连在一起。图九是4 位数码扫描显示电路,4个数码管分别由4个选通信号k1k4来选择。被选通的数码管显示数据。例如,在某一时刻,k3为低电平,其余选通信号为高电平,这时仅k3对应的数码管显示来自段信号端的数据,就必须使得4个选通信号k1k4分别被单独选通,与此同时,在段信号输入口加上希望在该对应数码管上显示的数据,于是随着选通信号的扫变,就能实现扫描显示的目的。共阳数码管与其电路示意图如图七所示:图七 共阳数码管与其电路4位数码扫描电路的示意图如图八所示: 图八 4位数码扫描电路2.4 波特率发生器UART的接收和发送是按照一样的波特率进行收发的。波特率发生器产生的时钟频率不是波特率时钟频率,而是波特率时钟频率的16倍,目的是为在接收时进行精确地采样,以提出异步的串行数据。2.5 UART设计总模块将发送器和接收器模块组装起来,就能较容易地实现通用异步收发器总模块,而且硬件实现不需要很多资源,尤其能较灵活地嵌入到FPGA/CPLD的开发中

      8、。UART设计流程图如图九所示:以超声波作为检测手段,必须产生超声波和接收超声波。完成这种功能的装置就是超声波传感器,习惯上称为超声换能器,或者超声探头。超声波探头主要由压电晶片组成,既可以发射超声波,也可以接收超声波。小功率超声探头多作探测作用。它有许多不同的结构,可分直探头(纵波)、斜探头(横波)、表面波探头(表面波)、兰姆波探头(兰姆波)、双探头(一个探头反射、一个探头接收)等。3.FPGA UART系统组成FPGA UART由三个子模块组成:(1)波特率发生器;(2)接收模块;(3)发送模块4.模块设计4.1. 顶层模块异步收发器的顶层模块由波特率发生器、UART接收器和UART发送器构成。UART发送器的用途是将准备输出的并行数据按照基本UART帧格式转为TXD信号串行输出。UART接收器接收RXD串行信号,并将其转化为并行数据。波特率发生器就是专门产生一个远远高于波特率的本地时钟信号对输入RXD不断采样,使接收器与发送器保持同步4.1.1顶层模块的电路图4.1.2顶层模块仿真程序n -文件名:top.vhd。n -功能:顶层映射。n library IEEE;n use IEEE.STD_LOGIC_1164.ALL;n use IEEE.STD_LOGIC_ARITH.ALL;use IEEE.STD_LOGIC_UNSIGNED.ALL;n entity top isn Port (clk32mhz,reset,rxd,xmit_cmd_p_in:in stdn -总的输入输出信号的定义n rec_ready,txd_out,txd_done_out:out std_logic; n txdbuf_in:in std_logic_vector(7 downto 0); -待发送数据输入n rec_buf:out std_logic_vector(7 downto 0);-接收数据缓冲n end top;n architecture Behavioral of top isn component recievern Port (bclkr,resetr,rxdr:in std_logic;n r_ready:out std_logic;n rbuf:out std_logic_vector(7 downto 0);n end

      《基于FPGA的异步收发器程序的设计说明》由会员大米分享,可在线阅读,更多相关《基于FPGA的异步收发器程序的设计说明》请在金锄头文库上搜索。

      点击阅读更多内容
    最新标签
    监控施工 信息化课堂中的合作学习结业作业七年级语文 发车时刻表 长途客运 入党志愿书填写模板精品 庆祝建党101周年多体裁诗歌朗诵素材汇编10篇唯一微庆祝 智能家居系统本科论文 心得感悟 雁楠中学 20230513224122 2022 公安主题党日 部编版四年级第三单元综合性学习课件 机关事务中心2022年全面依法治区工作总结及来年工作安排 入党积极分子自我推荐 世界水日ppt 关于构建更高水平的全民健身公共服务体系的意见 空气单元分析 哈里德课件 2022年乡村振兴驻村工作计划 空气教材分析 五年级下册科学教材分析 退役军人事务局季度工作总结 集装箱房合同 2021年财务报表 2022年继续教育公需课 2022年公需课 2022年日历每月一张 名词性从句在写作中的应用 局域网技术与局域网组建 施工网格 薪资体系 运维实施方案 硫酸安全技术 柔韧训练 既有居住建筑节能改造技术规程 建筑工地疫情防控 大型工程技术风险 磷酸二氢钾 2022年小学三年级语文下册教学总结例文 少儿美术-小花 2022年环保倡议书模板六篇 2022年监理辞职报告精选 2022年畅想未来记叙文精品 企业信息化建设与管理课程实验指导书范本 草房子读后感-第1篇 小数乘整数教学PPT课件人教版五年级数学上册 2022年教师个人工作计划范本-工作计划 国学小名士经典诵读电视大赛观后感诵读经典传承美德 医疗质量管理制度 2
    关于金锄头网 - 版权申诉 - 免责声明 - 诚邀英才 - 联系我们
    手机版 | 川公网安备 51140202000112号 | 经营许可证(蜀ICP备13022795号)
    ©2008-2016 by Sichuan Goldhoe Inc. All Rights Reserved.