电子文档交易市场
安卓APP | ios版本
电子文档交易市场
安卓APP | ios版本

数字系统综合设计+eda+模拟中央人民广播电台报时电路

9页
  • 卖家[上传人]:hs****ma
  • 文档编号:507652125
  • 上传时间:2024-03-04
  • 文档格式:DOCX
  • 文档大小:100.50KB
  • / 9 举报 版权申诉 马上下载
  • 文本预览
  • 下载提示
  • 常见问题
    • 1、编号:时间:2021年x月x日书山有路勤为径,学海无涯苦作舟页码:第1页 共1页数字系统综合设计实验报告 实验题目: 模拟中央人民广播电台报时电路 姓名: 张晓奇 马良 学号: 20072306 20072312 设计内容:1、实验要求:11 计时器运行到59分49秒开始报时,每鸣叫1s就停叫1s,共鸣叫6响;前5响为低音,频率为750HZ,最后1响为高音,频率为1KHz;12要有分秒显示 21总电路图: CLK是时钟脉冲,通过次端口输入时钟信号,CLR是清零端口,置于高电平时起清零作用,CI是保持端口,置于低电平时起保持作用;ENM是校分端口,置于低电平是由时钟脉冲进行校分功能,ENH是校时端口,同ENM;speaker是报时端,在50、52、54、56、58秒/分时输出高电平。qsl【3.0】、qsh【3.0】,qml【3.0】、qmh【3.0】,qhl【3.0】、qhh【3.0】分别是秒的低位、高位,分的低位、高位,时的低位、高位数码管显示端。gaopin、dipin端分别是报时信号频率输入端。22模块设计:A 60进制秒模块VHDL语言:library ieee;USE iee

      2、e.std_logic_1164.all;USE ieee.std_logic_unsigned.all;ENTITY sec60 IS PORT ( ci :IN std_logic; mclear :IN std_logic; clk :IN std_logic; co :out std_logic; qh :buffer std_logic_vector(3 downto 0); ql :buffer std_logic_vector(3 downto 0); a :out std_logic; b :out std_logic );END sec60;ARCHITECTURE behave OF sec60 ISBEGINco=1when (qh=0101 and ql=1001 and ci=1) else 0; a=1 when (qh=0101 and ql=0000) else 1 when (qh=0101 and ql=0010) else 1 when (qh=0101 and ql=0100) else 1 when (qh=0101 and ql=0110)

      3、else 1 when (qh=0101 and ql=1000) else 0; b=1 when (qh=0000 and ql=0000) else 0; PROCESS (clk,mclear)BEGIN IF(mclear=1)THEN qh=0000; ql=0000; elsif(clkevent and clk=1)thenif(ci=1)then if(ql=9) then ql=0000; if(qh=5)then qh=0000;else qh=qh+1;end if;else ql=ql+1;end if;end if ;end if;end process;end behave;B 60进制分模块VHDL语言:library ieee;USE ieee.std_logic_1164.all;USE ieee.std_logic_unsigned.all;ENTITY min60 IS PORT ( ci :IN std_logic; mclear :IN std_logic; clk :IN std_logic; co :out std_logic; qh :

      4、buffer std_logic_vector(3 downto 0); ql :buffer std_logic_vector(3 downto 0); a :out std_logic; b :out std_logic );END min60;ARCHITECTURE behave OF min60 ISBEGINco=1when (qh=0101 and ql=1001 and ci=1) else 0; a=1 when (qh=0101 and ql=1001) else 0; b=1 when (qh=0000 and ql=0000) else 0; PROCESS (clk,mclear)BEGIN IF(mclear=1)THEN qh=0000; ql=0000; elsif(clkevent and clk=1)thenif(ci=1)then if(ql=9) then ql=0000; if(qh=5)then qh=0000;else qh=qh+1;end if;else ql=ql+1;end if;end if ;end if;end process

      5、;end behave;C 24进制时模块VHDL语言:library ieee;USE ieee.std_logic_1164.all;USE ieee.std_logic_unsigned.all;ENTITY hour24 IS PORT ( ci :IN std_logic; hclear :IN std_logic; clk :IN std_logic; co :out std_logic; qh :buffer std_logic_vector(3 downto 0); ql :buffer std_logic_vector(3 downto 0);END hour24;ARCHITECTURE behave OF hour24 ISBEGIN co=1when (qh=0010 and ql=0011 and ci=1) else 0; PROCESS (clk,hclear) BEGIN IF(hclear=1)THEN qh=0000; ql=0000; ELSIF(clkEVENT AND clk=1)THEN if(ci=1)then if(ql=9) or(ql

      6、=3 and qh=2) then ql=0000; if(qh=2) then qh=0000; else qh=qh+1; end if; else ql=ql+1; end if; END IF; END IF; END PROCESS;END behave;D、二选一数据选择器:library ieee;use ieee.std_logic_1164.all;entity xuanze isport(cp1,cp2,en:in std_logic; chu:out std_logic);end xuanze;architecture behave of xuanze isbeginprocess(cp1,cp2,en)begin if(en=1) then chu=cp1;else chu=cp2;end if;end process;end behave;仿真图像: 调试过程图像:(1) 秒钟计数器的调试过程:(2) 分钟计数器的调试过程:(3) 时钟计数器的调试过程:(4) 2选1数据选择器的调试过程:(5) 总体波形:设计心得体会:通过本次设计使我更热爱数字电路设计,初步学会MAXPLUS软件VHDL语言的编用,能设计较简单的时序逻辑电路和组合逻辑电路,能解决实验设计中出现的一般性问题,提高了自己的解决问题的能力。在实习过程中大大激发了我们对EDA的浓厚兴趣。井老师的指导使我们受益匪浅。我们在学习过程中得到实践锻炼,获得新的知识与技能。在这短暂的几天里,我们积极地投入,认真地学习新技术、新方法。同学之间互帮互助,一起研究探讨,共同提高,增进了友谊,也加深了感情。参考文献: 1VHDL简明教程 东南大学 2数字电子技术 高等教育出版社 3VHDL电路设计技术 国防工业出版社4.电工学(第六版 下册 电子技术) 高等教育出版社第 1 页 共 1 页

      《数字系统综合设计+eda+模拟中央人民广播电台报时电路》由会员hs****ma分享,可在线阅读,更多相关《数字系统综合设计+eda+模拟中央人民广播电台报时电路》请在金锄头文库上搜索。

      点击阅读更多内容
    最新标签
    监控施工 信息化课堂中的合作学习结业作业七年级语文 发车时刻表 长途客运 入党志愿书填写模板精品 庆祝建党101周年多体裁诗歌朗诵素材汇编10篇唯一微庆祝 智能家居系统本科论文 心得感悟 雁楠中学 20230513224122 2022 公安主题党日 部编版四年级第三单元综合性学习课件 机关事务中心2022年全面依法治区工作总结及来年工作安排 入党积极分子自我推荐 世界水日ppt 关于构建更高水平的全民健身公共服务体系的意见 空气单元分析 哈里德课件 2022年乡村振兴驻村工作计划 空气教材分析 五年级下册科学教材分析 退役军人事务局季度工作总结 集装箱房合同 2021年财务报表 2022年继续教育公需课 2022年公需课 2022年日历每月一张 名词性从句在写作中的应用 局域网技术与局域网组建 施工网格 薪资体系 运维实施方案 硫酸安全技术 柔韧训练 既有居住建筑节能改造技术规程 建筑工地疫情防控 大型工程技术风险 磷酸二氢钾 2022年小学三年级语文下册教学总结例文 少儿美术-小花 2022年环保倡议书模板六篇 2022年监理辞职报告精选 2022年畅想未来记叙文精品 企业信息化建设与管理课程实验指导书范本 草房子读后感-第1篇 小数乘整数教学PPT课件人教版五年级数学上册 2022年教师个人工作计划范本-工作计划 国学小名士经典诵读电视大赛观后感诵读经典传承美德 医疗质量管理制度 2
    关于金锄头网 - 版权申诉 - 免责声明 - 诚邀英才 - 联系我们
    手机版 | 川公网安备 51140202000112号 | 经营许可证(蜀ICP备13022795号)
    ©2008-2016 by Sichuan Goldhoe Inc. All Rights Reserved.