数字系统设计试卷:2011年_数字系统设计试题20A卷
4页1、姓名 学号 学院 专业 座位号 ( 密 封 线 内 不 答 题 )密封线线_ _ 诚信应考,考试作弊将带来严重后果! 华南理工大学期末考试数字系统设计试卷A注意事项:1. 考前请将密封线内各项信息填写清楚; 2. 所有答案请在答题纸上答题,试卷上答题无效; 3考试形式:开(闭)卷; 4. 本试卷共 三 大题,满分100分,考试时间120分钟。题 号一二三总分得 分评卷人一简答题(共24分)1简述数字系统设计的四个层次,并说明每个层次主要完成的工作。(8分)2简述以下几个关于时间的概念。(8分)(1)建立时间(2)保持时间(3)传播延时(4)时序电路最大工作频率估算公式3. 写出CPLD和FPGA的英文或中文全称,分别写出CPLD和FPGA的内部主要结构。(8分)二. 分析题(33分)1写出情况(1)下的程序的库文件和实体,并画出(1)和(2)条件下的对应波形。(10分)1)当tmp为信号时,q1的波形;2)当tmp为变量时,q2的波形。Clk和rst波形如下图所示。architecture beh1 of sig_var issignal tmp:std_logic_vector(3
2、downto 0);begin process(clk,rst,tmp) begin if rst=1 then tmp=0000; elsif clkevent and clk=1 then tmp=tmp+1; q1=tmp; end if; end process;end beh1;architecture beh2 of sig_var isbegin process(clk,rst) variable tmp:std_logic_vector(3 downto 0);begin if rst=1 then tmp:=0000; elsif clkevent and clk=1 then tmp:=tmp+1; q2=tmp; end if; end process;end beh2;2有一个传输门,其惯性延时时间为4ns。根据下述赋值语句和给定的波形,画出对应Z1,Z2和Z3的波形图。(6分)(1)Z1=Vi (2)Z2 =Vi after 4 ns (3)Z3 = TRANSPORT Vi AFTER 3 ns3根据给定的A的波形,分别画出(a)、(b)和(c)程序的S1、
《数字系统设计试卷:2011年_数字系统设计试题20A卷》由会员M****1分享,可在线阅读,更多相关《数字系统设计试卷:2011年_数字系统设计试题20A卷》请在金锄头文库上搜索。
有关施工合同模板5篇
黄冈市理科实验班招生试题英语
居民防火常识
2023年度业务助理个人总结.docx
2018最新库房管理员述职报告范文【精品文档】.doc
广州购房合同的范本.docx
亲子共读草房子读后感600字.docx
某大厦施工组织设计(DOC 137页)
最常见的近红外光谱的预处理技术的综述
初中作文题目大全:有时候-我也文静.docx
12《瘸蝉》--教学设计
高效阅读文献方法.doc
企业目标市场营销策略.docx
2023年营业委托书(篇)
2019年健康教育社区工作计划3(二篇).docx
2023年关于六安春节期间的风俗调查.doc
五年级数学上册第三单元(倍数和因数)练习题.doc
2019年江苏省高考说明——地理.doc
生物八上二三章练习题.doc
下半年办公室工作计划范文(7篇).doc
2022-08-23 12页
2023-05-14 96页
2023-07-19 12页
2022-10-20 11页
2023-03-20 11页
2024-03-12 7页
2023-09-08 69页
2023-02-03 89页
2022-08-18 18页
2023-02-06 8页