电子文档交易市场
安卓APP | ios版本
电子文档交易市场
安卓APP | ios版本

多花样循环彩灯控制器设计方案

19页
  • 卖家[上传人]:工****
  • 文档编号:484842609
  • 上传时间:2023-01-13
  • 文档格式:DOCX
  • 文档大小:347.29KB
  • / 19 举报 版权申诉 马上下载
  • 文本预览
  • 下载提示
  • 常见问题
    • 1、武汉理工大学电子电工综合课程设计说明书引言在当代社会,广告的地位和影响已日渐壮大,特别是现在经济时代,许多商品都需要广告宣传来引导主流消费,因此,广告作为一种很重要的媒介,已日渐成为社会宣传的主导方式。然而广告的多样性决定其巨大的开发空间,对其的研究也是一项重要的工程。广告中一个重要元素是灯光,在许多城市,夜景已成为城市一道亮丽的风景线,而这美景也是由各种灯按照不同的方法设计出来的,可以说灯光是一个广告的灵魂。现在我们所掌握的数电知识已经可以设计出一些简单的广告图案。于是我们做了关于彩灯控制的课程设计。本次课程设计是为了把在“模拟电子技术基础”与“数字电子技术基础”课程学习的书本知识,即通常只介绍单元电路的设计、集成芯片的特性、功能等,而一个实用的电子系统通常是由多个单元电路组成的。因此,进行电子系统设计时,不但要考虑系统总体电路的设计,还要考虑系统各部分电路的选择、设计及它们之间的相互连接。由于各种通用和专用的模拟、数字集成电路的大量涌现,所以在电子系统的方案框图确定后,除少数电子电路的参数需要设计计算外,大部分只需根据电子系统框图各部分要求正确选用模拟和数字集成电路的芯片就可以了。

      2、电子系统的设计没有固定不变的步骤,它往往与设计者综合应用所学知识的能力、经验等有密切关系。 常用电子系统设计通常包括: 选择总体方案框图、 单元电路设计与选择、电子元器件的选择、单元电路之间的连接、对电子系统进行电路搭试、对方案及单元电路参数进行修改、绘制总体电路,最后写出设计报告。1武汉理工大学电子电工综合课程设计说明书1 设计意义及要求1.1设计意义( 1) 通过课程设计,让同学巩固已学的模拟电子技术基础和数字电子技术基础 ,同时加深对电子电路的理解。( 2) 让同学学会使用网络查资料,学会利用图书馆的资源,通过自己的学习解决实际中发生的问题。( 3) 学会方案比较,以及设计计算等环节,进一步提高分析解决实际问题的能力。( 4) 真正实现由知识向智能的转化,通过此综合训练,为以后毕业设计打下一定基础。1.2设计要求现有 16 只彩灯,试设计一控制器,要求彩灯能实现如下追逐图案:( 1)使 16只彩灯从右到左逐一循环点亮。即按照1111 1111 1111 1110,1111 11111111 1101,111111111111 1011 ( 0 代表亮, 1 代表熄灭) , 的方式

      3、点亮。( 2)使 16只彩灯按照 1111 1110 1111 1110 左移循环点亮。即按照 1111 1110 11111110,1111 110111111101,1111 1011 1111 1011, 的方式点亮。( 3)使 16 只彩灯交替闪烁。即按照 1010 1010 1010 1010,0101 0101 0101 0101,的方式点亮。( 4)使中间 2 个彩灯发亮,然后从两边再增加 2 个发亮,一直下去,直到 16 个彩灯全亮,然后,闪烁 2 次。(5) 接着重复以上的动作,这样一直循环下去。时间间隔为1 秒。(6) 严格按照课程设计说明书要求撰写课程设计说明书。2武汉理工大学电子电工综合课程设计说明书2 方案设计2.1 设计方案2.11 设计方案一设计要求彩灯完成四个可以循环的功能,于是就把设计分为几个独立的功能模块进行设计,每一个模块完成特定的功能,再把它们有机的组织起来构成一个系统完成彩灯控制器的设计。时钟脉冲产生电路由74LS161 分频实现,循环控制电路由74LS197 和 74LS139实现,彩灯输出花样电路由74LS139 和相关门电路组成。设计框图

      4、如图 2.1 所示:多多谐振荡器谐振分频计数器计数器数据选择器移位寄存器荡器输出图 2.1 多花样循环彩灯控制器设计框图具体设计步骤:先将每个设计的功能要求的单独电路画出来,通过74LS198 双向移位寄存器来实现对彩灯的直接控制。在完成单个功能要求之后,用一个74LS139 译码器直接对四个模块的选择,即Y0 选通第一个功能的电路,Y1 选通第二个功能的电路,Y2 选通第三个功能的电路, Y3 选通第四个功能的电路,然后此译码器受到74LS197 计数器的控制,在该计数器由 00 变为 01,由 01 变为 02,由 02 变为 03 的过称中依次影响译码器的输出,该计数器又受到一个由74LS161 计数器构成的分频器的控制,在 74LS161 输出全零时,经过相关的门电路后会有一个低电平输出,在 74LS161 输出不为全零时,经过相关的门电路后会有一个高电平输出,在 74LS161 输出又为全零时,经过相关的门电路后会有一个低电平输出,于是就有了一个下降沿触发给 74LS197,就完成了一个功能的要求 。方案设计二本方案实现的核心AT89S52单片机,通过IO 口直接驱动 LED

      5、灯。通过程序的编写以实现不同的花样,以及不同花样之间的转换。3武汉理工大学电子电工综合课程设计说明书LED 显示电路AT89S52图 2-2-2方案二系统框图2.3方案比较方案一在实现过程当中电路比较繁琐,需要的器件多,连线复杂,但是模块清晰,功能明确,易于理解调试。方案二由于单片机具有以下优点1 、具有优异的性能价格比2 、集成度高、体积小、可靠性高3 、控制功能强4 、低电压、低功耗使得电路简单,器件少,连线简单,但是使用单片机,程序调试复杂,且不利于锻炼硬件调试能力。鉴于本实验主要在计算机上仿真实现,连线复杂程度并不是很大的困难,综合分析后,我们选择了方案一。4武汉理工大学电子电工综合课程设计说明书3. 单元电路设计3.1多谐振荡器的设计555 定时器是一种模拟和数字功能相结合的中规模集成器件,电源电压范围宽,可在4.5V16V 工作,其中7555 可在 318V 工作,输出驱动电流约为200mA,因而其输出可与 TTL、CMOS或者模拟电路电平兼容。 其成本低,性能可靠,只需要外接几个电阻、电容,就可以实现多谐振荡器、单稳态触发器及施密特触发器等脉冲产生与变换电路。其内部原理图

      6、如下:图 3-1-1 555 定时器内部原理图它含有两个电压比较器,一个基本 RS触发器,一个放电开关 T,比较器的参考电压由三只 5K 的电阻器构成分压, 它们分别使高电平比较器 A1 同相比较端和低电平比较器 A2的反相输入端的参考电平为和。A1 和 A2 的输出端控制 RS触发器状态和放电管开关状态。当输入信号输入并超越时,触发器复位, 555 的输出端 3 脚输出低电平,同时放电,开关管导通;当输入信号自2 脚输入并低于时,触器置位, 555 的3 脚输出高电平,同时放电,开关管截止。5武汉理工大学电子电工综合课程设计说明书表 312555 定时器功能表输入输出阈值输入( V11)触发值( V 12) 复位( RD )输出( V O)放电管 T00导通(2/3)V CC(2/3)V CC(1/3)V CC10导通(1/3)V CC1不变不变由 555 定时器通过连接合适的电路变成一个多谐振荡器( 脉冲发生器 ) ,如下图所示电路:图 3-1-3多谐振荡器电路图 313 由 555 定时器构成的多谐振荡电路图接通电源后,电容 C 被充电,当 V C 上升到 2/3V CC 时,触

      7、发器被复位,同时发电 BJT T 导通,此时 V O 为低电压,电容 C 通过 R2 和 T 放电,使 V C 下降。当 V C 下降到( 1/3)VCC时,触发器又被置位, V O 翻转为高电平。电容器 C 放电所需的时间为:tPL=R2Cln2可近似看成 tPL=0.7R2C当 C 放电结束时, T 截止, VCC 将通过 R1、R2 向电容器 C 充电, VC 由( 1/3)V CC上升到( 2/3)V CC 所需的时间为:tPH=(R1+R2)Cln2可近似看成 tPH=0.7(R1+R2)C6武汉理工大学电子电工综合课程设计说明书而当 V C 上升到( 2/3)V CC 时,触发器又周而复始,在输出端就得到一个周期性的方波,其频率为f=1/( t PL +t PH )可近似看成 f=1.43/(R 1+2R2)C3.2分频器的设计74LS161 的清除端是异步的。当清除端CR为低电平时,不管时钟端CP 状态如何,即可完成清除功能。 74LS161的预置是同步的。当置入控制器LOAD为低电平时,在CP 上升沿作用下,输出端QA QD 与数据输入端 A D 相一致。对于74LS161 ,当 CP 由低至高跳变或跳变前,如果计数控制端 ENP、ENT 为高电平, 则 LOAD 应避免由低至高电平的跳变, 而 74LS161 无此种限制。 74LS161 的计数是同步的,靠CP 同时加在四个触发器上而实现的。当ENP 、 ENT 均为高电平时,在 CP 上升沿作用下 QA QD同时变化,从而消除了异步计数器中出现的计数尖峰。对于 54/74LS161 ,只有当 CP 为高电平时, ENP 、ENT 才允许由高至低电平的跳变,而 74LS161 的

      《多花样循环彩灯控制器设计方案》由会员工****分享,可在线阅读,更多相关《多花样循环彩灯控制器设计方案》请在金锄头文库上搜索。

      点击阅读更多内容
    最新标签
    监控施工 信息化课堂中的合作学习结业作业七年级语文 发车时刻表 长途客运 入党志愿书填写模板精品 庆祝建党101周年多体裁诗歌朗诵素材汇编10篇唯一微庆祝 智能家居系统本科论文 心得感悟 雁楠中学 20230513224122 2022 公安主题党日 部编版四年级第三单元综合性学习课件 机关事务中心2022年全面依法治区工作总结及来年工作安排 入党积极分子自我推荐 世界水日ppt 关于构建更高水平的全民健身公共服务体系的意见 空气单元分析 哈里德课件 2022年乡村振兴驻村工作计划 空气教材分析 五年级下册科学教材分析 退役军人事务局季度工作总结 集装箱房合同 2021年财务报表 2022年继续教育公需课 2022年公需课 2022年日历每月一张 名词性从句在写作中的应用 局域网技术与局域网组建 施工网格 薪资体系 运维实施方案 硫酸安全技术 柔韧训练 既有居住建筑节能改造技术规程 建筑工地疫情防控 大型工程技术风险 磷酸二氢钾 2022年小学三年级语文下册教学总结例文 少儿美术-小花 2022年环保倡议书模板六篇 2022年监理辞职报告精选 2022年畅想未来记叙文精品 企业信息化建设与管理课程实验指导书范本 草房子读后感-第1篇 小数乘整数教学PPT课件人教版五年级数学上册 2022年教师个人工作计划范本-工作计划 国学小名士经典诵读电视大赛观后感诵读经典传承美德 医疗质量管理制度 2
    关于金锄头网 - 版权申诉 - 免责声明 - 诚邀英才 - 联系我们
    手机版 | 川公网安备 51140202000112号 | 经营许可证(蜀ICP备13022795号)
    ©2008-2016 by Sichuan Goldhoe Inc. All Rights Reserved.