电子文档交易市场
安卓APP | ios版本
电子文档交易市场
安卓APP | ios版本

EDA课设自动售邮票机--精选文档

10页
  • 卖家[上传人]:桔****
  • 文档编号:482410655
  • 上传时间:2023-02-07
  • 文档格式:DOC
  • 文档大小:44KB
  • / 10 举报 版权申诉 马上下载
  • 文本预览
  • 下载提示
  • 常见问题
    • 1、 辽宁工程技术大学 集成电路EDA技术课程设计报告 题 目 自动售票机的设计 指 导 教 师 李书艳 院(系、部) 电信学院 专 业 班 级 电子09-2班 学 号 0906040202 姓 名 陈磊 日 期 2012/6/19 一、 摘要 20世纪90年代,国际上电子和计算机技术较先进的国家,一直在积极探索新的电子电路设计方法,并在设计方法、工具等方面进行了彻底的变革,取得了巨大成功。在电子技术设计领域,可编程逻辑器件(如CPLD、FPGA)的应用,已得到广泛的普及,这些器件为数字系统的设计带来了极大的灵活性。这些器件可以通过软件编程而对其硬件结构和工作方式进行重构,从而使得硬件的设计可以如同软件设计那样方便快捷。这一切极大地改变了传统的数字系统设计方法、设计过程和设计观念,促进了EDA技术的迅速发展。 EDA设计是当代电子信息人才必须要掌握的一门技术,本次课程设计中我运用VHDL语言和Quaertus 软件完成了自动售邮票机的设计。着重介绍了VHDL语言的特点,在设计中体现了其基本原理、系统组成和主要功能;并分析讨论了用VHDL语言开发自动售货机系统的设计流程。最终完成的自动售货机

      2、系统具有投币处理、商品选择、购货撤销、异常退币、计算存货等主要功能。二、综述 VHDL语言是一种用于电路设计的高级语言。VHDL翻译成中文就是超高速集成电路硬件描述语言,主要是应用在数字电路的设计中。除了含有许多具有硬件特征的语句外,VHDL的语言形式、描述风格以及语法是十分类似于一般的计算机高级语言。EDA技术就是以计算机为工具,设计者在EDA软件平台上,用硬件描述语言VHDL完成设计文件,然后由计算机自动地完成逻辑编译、化简、分割、综合、优化、布局、布线和仿真,直至对于特定目标芯片的适配编译、逻辑映射和编程下载等工作。EDA技术的出现,极大地提高了电路设计的效率和可操作性,减轻了设计者的劳动强度。 本次课程设计将运用VHDL设计一款自动售邮票机,培养EDA操作技巧。设计目的:1巩固所学的专业技术知识; 2了解并掌握VHDL语言设计方法,具备初步的独立设计能力;3提高综合运用所学理论知识、独立分析和解决问题的能力;4更好地将理论与实践相结合。设计要求: 有一个自动售邮票机,出售面值为6角和8角的邮票。售票机有1角,5角和1元三个硬币投放口,售票机每次只能售出一枚邮票。当所投硬币达到或

      3、者超过购买者所选面值时,售出一枚邮票,并找回零钱,售票机回到初始状态;当所投硬币不足邮票面值时,可以通过一个复位键退回所投硬币,售票机回到初始状态。三、方案设计与分析思路分析1、 题目分析: 因为售票机有3个投放口,而每次只能出售一枚邮票,所以如果要买6角,则购买方式有4种:0.1+0.5 0.5+1 1 0.1+1 购买8角的可能为以下4种:1 0.1+0.5+1 0.1+1 0.5+1(所投面值达到购买面值就自动售出,注意投币顺序),如上分析顾客的无论是选择6角还是8角都最多能有4种行为能够购买。2、程序设计entity定义 我们定义了系统的输入/输出端口信号,考虑顾客只有4种操作行为,即投币、选择、确定与取消,所以定义以下系统输入信号。Reset: 系统内部设置给其他顾客重新操作的复位信号;Clk: 由外接信号发射器提供1024b/s的系统时钟信号;Ok buy: 购买确认的按键信号;Cancle: 购买取消的按键信号;Coin1: 投入1角硬币的动作按键Coin 5: 投入5角硬币的动作按键;Coin 10: 投入1元硬币的动作按键;Select 6: 选择6角邮票的按键信号;

      4、Select 8: 选择8角邮票的按键信号;在设计中我们用LED灯的熄灭来表示系统的信号处理结果Led 6 ok: 灯亮显示还有6角邮票;Led 8 ok: 灯亮显示还有8角邮票;Led 6 sel: 灯亮显示6角邮票选择按键被按;与Led 8 sel只有一个灯亮,后按着优先;Led 8 sel: 灯亮显示8角邮票选择按键被按;与Led 6 sel只有一个灯亮,后按着优先;Led buy: 灯亮显示按了购买确认键;Led cancle: 灯亮显示按了购买取消键; Led one(1 to 8): 8个LED,投入1个1角硬币亮一个LED; Led five(1 to 2): 2个LED,投入1个5角硬币亮一个LED;Led ten(1 to 1): 1个LED,投入1个1元硬币亮一个LED;Led one return: 8个LED , 以每秒4次的闪烁代表被推出的硬币;Led five renturn: 2个LED, 以每秒4次的闪烁代表被推出的硬币;Led ten return: 1个LED,以每秒4次的闪烁代表被推出的硬币:Led 6 out: 灯亮显示6角邮票已出货;Led 8

      5、 out: 灯亮显示8角邮票已出货;VHDL源代码:Library ieee;use ieee.std_logic_1164.all;use ieee.std_logic_arith.all;use ieee.std_logic_unsigned.all;use work my_pkg.all;-package including debouncecomponent-input and output pins declaractionentity vendor isport( reset:in std logic;-power reset to another buying.Clk:in std logic;ok_ in std logic;cancle in std logic;Coin-1:in std-logic; -按钮投入1角硬币coin_5:in std_ logic;-按钮投入5角硬币 coin_10:in std_ logic; -按钮投入1元硬币select 6: in std_ logic;-:按钮选择6角邮票select 8: in std_ logic;-按钮选

      6、择8角邮票led 6 ok:out std_ logic;- 显示6角邮票可用led 8 ok: out std_ logic;- 显示8角邮票可用led 6 sel:out std_ logic; -显示6角邮票被选择led 8 sel: out std_ logic; led buy:out std_ logic;-显示确定购买led cancle:out std_ logic;-显示拒绝购买led five: out std_ logic vector(1to0);-显示五角硬币个数led ten :out std_ logic vector(0to0);Led one: out std-logic vector(0 to 7);led five return: out std_ logic vector(1to0);- 显示退出5角硬币led ten renturn:out std_ logic vector(0to0);Led one return :out std-logic vector(0 to 7);led 6 out: out std_ logic; -显示6角邮票

      7、出货led 8 out: out std_ logic; End.3、仿真分析设定ok_buy,cancel_buy与reset三个系统输入信号,可观察到系统输出信号led_ok,led_cancel与输出全局信号ok与cancel的波形,ok与cancel信号用来维持ok_buy与cancel_buy按键操作的状态。源代码-to check confiming or canceling buying ok or cancle block -input reset ok buy cancle buy -output led buy ok led cancel cancel begin pl:process(reset ok buy)-to maintain the confiming status begin if reset=1than ok=0 led buy =0els if rising dege(ok buy)then ok=1 led buy =1end if;end prcessp2 process(reset cancle buy)-tomaitain the canceling statusbeginif reset=1;then cancel=0;led_cancel=0; elsif rising_edge(cancel_buy) then. cancel=1; led_cancel=1; end if1. end process;end block; 3、 如3-1所示3-1确认与取消电路仿真图设定clk与reset等系统输入信号,以及输入全局信号cancel,total_amount_one,return_clk,6_out与8_to信号后,可以观察到系统输出信号led_one_return,led_five_return与输出全局信号money_ok的波形。另外,局部信号total_amount仅用来暂存投入硬币的总金额,并不输出

      《EDA课设自动售邮票机--精选文档》由会员桔****分享,可在线阅读,更多相关《EDA课设自动售邮票机--精选文档》请在金锄头文库上搜索。

      点击阅读更多内容
    最新标签
    监控施工 信息化课堂中的合作学习结业作业七年级语文 发车时刻表 长途客运 入党志愿书填写模板精品 庆祝建党101周年多体裁诗歌朗诵素材汇编10篇唯一微庆祝 智能家居系统本科论文 心得感悟 雁楠中学 20230513224122 2022 公安主题党日 部编版四年级第三单元综合性学习课件 机关事务中心2022年全面依法治区工作总结及来年工作安排 入党积极分子自我推荐 世界水日ppt 关于构建更高水平的全民健身公共服务体系的意见 空气单元分析 哈里德课件 2022年乡村振兴驻村工作计划 空气教材分析 五年级下册科学教材分析 退役军人事务局季度工作总结 集装箱房合同 2021年财务报表 2022年继续教育公需课 2022年公需课 2022年日历每月一张 名词性从句在写作中的应用 局域网技术与局域网组建 施工网格 薪资体系 运维实施方案 硫酸安全技术 柔韧训练 既有居住建筑节能改造技术规程 建筑工地疫情防控 大型工程技术风险 磷酸二氢钾 2022年小学三年级语文下册教学总结例文 少儿美术-小花 2022年环保倡议书模板六篇 2022年监理辞职报告精选 2022年畅想未来记叙文精品 企业信息化建设与管理课程实验指导书范本 草房子读后感-第1篇 小数乘整数教学PPT课件人教版五年级数学上册 2022年教师个人工作计划范本-工作计划 国学小名士经典诵读电视大赛观后感诵读经典传承美德 医疗质量管理制度 2
    关于金锄头网 - 版权申诉 - 免责声明 - 诚邀英才 - 联系我们
    手机版 | 川公网安备 51140202000112号 | 经营许可证(蜀ICP备13022795号)
    ©2008-2016 by Sichuan Goldhoe Inc. All Rights Reserved.