电子文档交易市场
安卓APP | ios版本
电子文档交易市场
安卓APP | ios版本

数电课设交通灯

13页
  • 卖家[上传人]:大米
  • 文档编号:482213489
  • 上传时间:2023-09-18
  • 文档格式:DOCX
  • 文档大小:318.30KB
  • / 13 举报 版权申诉 马上下载
  • 文本预览
  • 下载提示
  • 常见问题
    • 1、理工大学专业班级学生课程名称数字电路 逻辑设计设计名称交通信号灯 控制器设计周数1.5周指导教师设计任务主要设计参数设计 个十字路口红、绿、黄灯控制系统,用红、绿、黄三色发光一 极管作信号灯,用传感器或用逻辑开关代替传感器作为检测车辆是否到来 的信号设计容设计要求(1)在主干道和支干道均设有车辆检测传感器,用以检测道路是否 有车辆,当某一道路有车而另一道路无车时,则该车道处于常允许通行的 状态。(2)当主、支干道均有车时,两者交替允许通行,主干道每次放行 45秒,支干道每次放行25秒。当主干道允许通行亮绿灯时,支干道亮 红灯。而支干道允许通行亮绿灯时,主干道亮红灯。在每次由亮绿灯变成 亮红灯的转换中间,要亮5秒的黄灯作为过渡,以使行使中的车辆有时间 停到禁止线外。(3)设立45秒、25秒、5秒计时显示电路。主要参考资料数字电路逻辑设计(第二版)学生提交归档文件(1)仿真文件(2)电路图(3)仿真图(4)实验图课程设计任务书注:1.课程设计完成后,学生提交的归档文件应按照:封面任务书说明书图纸的顺序进行装订 上交(大图纸不必装订)2.可根据实际容需要续表,但应保持原格式不变。指导教师签名

      2、:日期:.z专业班级学号 成绩 一、简述为了确保土字路口的车辆顺利、畅通地通过,往往都采用自动控制的交通信号灯来进行指挥。其中红灯(R)亮表示该条道路禁止通行;黄灯(Y)亮表示停车;绿灯(G)亮表示允许通行。交通灯控制器的系统框图如图3.1所示。装图一 3丄一交通灯控制器系统框图: 系统由秒脉冲信号发生器、定时器、控制器、译码显示器、信号灯显示器五大部分组成。其中秒脉冲信号 发生器用于给各个组成部分提供脉冲信号,通过定时器向控制器发出三种定时信号,使相应的发光二极管 发光。译码显示器-在控制器的控制下,改变交通灯信号,分别产生三种倒计时时间显示,控制器根据定时 线器的信号,进行状态间的转换,使显示器的显示发生相应转变。二、设计目的一通过本次课题设辻,应该掌握以下容一.(丄)学习数字逻辑一电路设计的二般方法。(2)-要求学会用理论知识解决实-际问题。(3) 灵活掌握部分74LS-系列集成电路的使用。(4) -掌握Multisim.仿-真软件的应用。(5) 掌握常用元器件的识别与测量。.(6) 了解实际电路调试和解决问题的基本方法。三、总体方案设计用定时器分别产生三个时一间间隔后,向控制器

      3、发出“时间已到”的信号,控制器根据定时器的信号, 决定是否进行状态转换。如果肯定,则控制器发出状态转换信号ST,定时器开始清零,准备重新辻时。 交通灯控制器的控制过程分为四个阶段,对应的输出有四种状态,分别用S0、S1、S2、S3表示。S0状态:主壬道绿灯亮,支干道红灯亮,此时主壬道允许车辆通行,主干道禁止车辆通行。当主干道绿灯 亮够规定的时间后,控制器发出状态转换信号,系统进入下一个状态。S1状态:主干道黄灯亮,主干道红灯亮,此时主壬道允许超过停车线的车辆继续通行,而未超过停车线的 车辆禁止通行,支壬道禁止车辆通行。当主壬道黄灯亮够规定时间后,控制器发出状态转换信号,系统进 入下一个状态。S2状态:主壬道红灯亮,支壬道绿灯亮。此时主壬道禁止车辆通行,支壬道允许车辆通行,当支壬道绿灯 亮够规定时间后,控制器发出状态转换信号,系统进入下二个状态。S3-状态:支壬道红灯亮,支干道黄灯亮。此时主壬道禁止车辆通行,支壬道允许超过停车线的一车辆通行, 而未超过停车线的车辆禁止通行。当支干道红灯亮够规定的一时间后,一控制器发出状态转换信号,系统进入 下一个状态-二S0状态。态序主干道支土道时间s0

      4、绿灯红灯45s5s红灯绿灯25s.5s黄灯红灯红灯黄灯交通01T50SoSi00S211 A=1或日二0灯状态转移图且8=1丿或A二0交通信号灯控制器序表EASoSlS2S3G丄VLKlC2込说明1X1000100001当 t45 1 且 Ei=i 时,s0 - sAXX0100010001当 = 1P J, SL-*SjX10010001100当Tmm = 1且恥1吋.j島XX0001001010当Ts =】时,Sa tEd四、电路设计电路设计分成三部分1、用一个模四辻数器通过一个3-8译码器,引出四个控制信号,分别控制信号灯的亮灭的四个状态。2、用两片7418192做计数模块,接成减法辻数,当两片同时为0时置数,此置数信号为模四计数器提供时钟。个位片置-5,士位片置-Q-或2或4,由3-8译码器的输出端控制。3、检测信号经过一个异或门,控制2-4译码器的工作端。该信号再跟检测信号分别经过与门,直接控制信电路原理图vcc5VJ2jvccML百畑DRUSAU14AUllAiJP 1 O IW - RU1274LS0SDAV0*1気YtGLY4档-u应aTdr774LS13BDT 一 J

      5、di sn?nU1374L SOBOU14Gim日J-74L504DU11C7TTM)2nWA-vcc74LS04D74I 5D4DU1W74L504D1L5:J4UU15A74LS&I各部分电路设计:(1) 信号灯实现模块:一JU12RU8A_1 74L543BDU14A74L&Q2D2)秒辻数器摸块状态转移控制模块LJ1SA3)信号检测模块4)心:5VJ2o &W= P1C I p Jc l= R(5)电路仿真图元器件清单:原件名称个数数字电路实验箱1个74LS192N2个74LS163N1个74LS138N1个74LS20N1个74LS08N1个74LS04N2个LED发光二极管6个五、仿真结果及分析(一)、仿-真过程-一(1)在一 Multisim中按照设辻妊的电路图连线;(2) 分模块进行仿真,观察状态是否正确;(3) 整合所有摸块进行整体仿真,观察状态;一(4) 切换开关的高低电平,查看状态是否正确;(5) 一完成仿真。.(二)、仿-真结果-1主路绿灯,支路红灯,主路放行45秒倒辻时。VM2、主路黄灯,支路红灯,黄灯五秒倒计时。CCUSAm-74LS0SDU12U14Ar4

      6、L54J2gU9B74LSOSOU1iAU14B x_ 7TCE02DUFA74L$M Dvcc4Hn-1=1咅,Eeso-上U2711HJPQAIM711 5137 n3,主路红灯,支路绿灯,支路放行25秒倒计时。4主路红灯一一支路黄灯,五秒倒计时。Uli A_卍 LBO4DUUdlA7.1LSD2DU12IJRF.74LS08ICU13U7ALJMR7I1U;G2D讥知DVCC5VILS192DU-1IDAnX.7亠Del so:-HI 3- J1 L5192D(三-)、-仿真虫遇到的问题-一(丄)不清楚个别引脚功能问题;一(2) 相互之间的控制问题;(3) 用开关控制状态的问题;一(4) 连线过程中的布局问题。(5) 192的借位输出问题。六、验证结果及分析1、实验室实验操作步骤一.(1) 交元件清单,领取元器件。(2) 测试元一器性功能是否正确。(3) 按仿真原理图进行连线。(4) 测试测试电路的功能是否正确,若不正确,捡查错误;若功能状态正确,记录实验状态。2、操作中的问题(1) 连接线及芯片的测试问题。一(2) 由于连线过多,连线时需仔细而耐心。(3) 实际测试功能状态的问题等七、实验总结本次试验,我们只是做妊了仿真,实验验证并没有出来,验证74lsL92的功能就花费了好久,为了找到一个没有坏的芯片,我们也是花费了好么,所以到下午才开始连接电路,但最后都没有成功,我觉得我们-的问 题主要出在没有将74ls192 的功能完全了解,所以花费了妊久,到最后都没有时间检查接下来的一电路-所以到最后我们都没有把电路搭出来,第二天考试可能也有一些影响,但最主要还是没有把心态放妊,精心去 连电路。但这次实验还是有很大收获的。不仅通过实验巩固自己所学,更锻炼自己的动手能力和团队合作 能力。让我更加深入的工解数字电路逻辑设辻这门课,更加熟练的一运用Multisim这个软件。八、参考文献一一【1】电子技术试验、实训及课程设辻清华太学立楠、明、洋、一吴琼编著一【2】数字电路设计、仿真、测试华中科技大学一佘新平一【3】数字电路实验与EDA技术东南大学郭永点一一【4】-数字电子技术试验与实践-电子工业-吴慎山一-【5】数字电路硬件设辻实践高等教育贾秀美、文爱、武培雄一

      《数电课设交通灯》由会员大米分享,可在线阅读,更多相关《数电课设交通灯》请在金锄头文库上搜索。

      点击阅读更多内容
    最新标签
    监控施工 信息化课堂中的合作学习结业作业七年级语文 发车时刻表 长途客运 入党志愿书填写模板精品 庆祝建党101周年多体裁诗歌朗诵素材汇编10篇唯一微庆祝 智能家居系统本科论文 心得感悟 雁楠中学 20230513224122 2022 公安主题党日 部编版四年级第三单元综合性学习课件 机关事务中心2022年全面依法治区工作总结及来年工作安排 入党积极分子自我推荐 世界水日ppt 关于构建更高水平的全民健身公共服务体系的意见 空气单元分析 哈里德课件 2022年乡村振兴驻村工作计划 空气教材分析 五年级下册科学教材分析 退役军人事务局季度工作总结 集装箱房合同 2021年财务报表 2022年继续教育公需课 2022年公需课 2022年日历每月一张 名词性从句在写作中的应用 局域网技术与局域网组建 施工网格 薪资体系 运维实施方案 硫酸安全技术 柔韧训练 既有居住建筑节能改造技术规程 建筑工地疫情防控 大型工程技术风险 磷酸二氢钾 2022年小学三年级语文下册教学总结例文 少儿美术-小花 2022年环保倡议书模板六篇 2022年监理辞职报告精选 2022年畅想未来记叙文精品 企业信息化建设与管理课程实验指导书范本 草房子读后感-第1篇 小数乘整数教学PPT课件人教版五年级数学上册 2022年教师个人工作计划范本-工作计划 国学小名士经典诵读电视大赛观后感诵读经典传承美德 医疗质量管理制度 2
    关于金锄头网 - 版权申诉 - 免责声明 - 诚邀英才 - 联系我们
    手机版 | 川公网安备 51140202000112号 | 经营许可证(蜀ICP备13022795号)
    ©2008-2016 by Sichuan Goldhoe Inc. All Rights Reserved.