![](https://www.jinchutou.com/images/s.gif)
818+电子琴程序设计与仿真
6页1、818+电子琴程序设计与仿真电子琴系统的设计包含四个模块,分别是操纵输入电路、FPGA、显示电路和扬声器电路。其中FPGA模块的设计是整个电子琴系统设计的核心内容。四个模块的有机组合完成了电子琴自动演奏的功能。文中还详细介绍了FPGA功能模块的原理及其工作时序仿真图。本产品的特点是成本较低,性能稳固,精度高,有一定的开发价值。关键词: 现场可编程逻辑器件FPGA 超高速硬件描述语言VHDL 电子琴系统 自动演奏8.18.4程序设计与仿真电子琴VHDL程序包含有:顶层程序、音阶发生器程序、数控分频模块程序和自动演奏模块程序。1.顶层程序与仿真1顶层VHDL程序-文件名:top.vhd-功能:顶层文件-最后修改日期:2004.3.20library IEEE;use IEEE.STD_LOGIC_1164.ALL;use IEEE.STD_LOGIC_ARITH.ALL;use IEEE.STD_LOGIC_UNSIGNED.ALL;entity top isPort ( clk32MHz :in std_logic; -32MHz系统时钟handTOauto : in std_logic
2、; -键盘输入/自动演奏code1 :out std_logic_vector(6 downto 0); -音符显示信号index1 :in std_logic_vector(7 downto 0); -键盘输入信号high1 :out std_logic; -高低音节信号spkout :out std_logic); -音频信号end top;architecture Behavioral of top iscomponent automusicPort ( clk :in std_logic; Auto: in std_logic; index2:in std_logic_vector(7 downto 0); index0 : out std_logic_vector(7 downto 0); end component;component tonePort ( index : in std_logic_vector(7 downto 0); code : out std_logic_vector(6 downto 0); high : out std_logic; tone0
3、: out integer range 0 to 2047);end component;component speakerPort ( clk1 : in std_logic;tone1 : in integer range 0 to 2047;spks : out std_logic);end component;signal tone2: integer range 0 to 2047;signal indx:std_logic_vector(7 downto 0);beginu0:automusic port map(clk=clk32MHZ,index2=index1,index0=indx,Auto=handtoAuto);u1: tone port map(index=indx,tone0=tone2,code=code1,high=high1);u2: speaker port map(clk1=clk32MHZ,tone1=tone2,spks=spkout);end Behavioral;2仿真顶层文件仿真图如图8.18.2所示。图8.18.2 顶层文件仿真图2.
《818+电子琴程序设计与仿真》由会员llt8****5967分享,可在线阅读,更多相关《818+电子琴程序设计与仿真》请在金锄头文库上搜索。
![TDSCDMA路测信令流程](https://img.cdn06.goldhoe.com/2022-11/26/e1d4196e-0eb9-4742-9421-5e96c0275379/0a63d03fad184a3fa7c618c74da4d606.gif)
TDSCDMA路测信令流程
![U交通运输-原子核物理评论](https://img.cdn06.goldhoe.com/2022-11/26/da0989c1-e5ed-40c2-a030-724cd7c8dbde/04e39291cb904804875f53018c022c94.gif)
U交通运输-原子核物理评论
![M02青山大桥监理工作制度XXXX0504岳修改](https://img.cdn06.goldhoe.com/2022-11/26/03929f99-86eb-412a-bd63-7aefcd5046f1/662ff20167d645c1889ba5350569ecb2.gif)
M02青山大桥监理工作制度XXXX0504岳修改
![四年级下册英语教案全册∣鲁科版五四制三起](https://img.cdn06.goldhoe.com/2022-11/27/b3ce55b6-18f5-47f1-9e27-70615126614e/490b5c3b8793468c8bad13729ee7726e.gif)
四年级下册英语教案全册∣鲁科版五四制三起
![教科版高中信息技术选修一算法与程序设计选修教案](https://img.cdn06.goldhoe.com/2022-11/27/717f212f-0b5c-43e1-9893-cf383bda3009/3b95a22bf8994063b465f87a4ec2fcae.gif)
教科版高中信息技术选修一算法与程序设计选修教案
![外研版初中英语初二上第一学期导学案合集](https://img.cdn06.goldhoe.com/2022-11/27/3bc7e2ef-8ab6-41fa-aff9-0e175bb30600/904a7391a6e646f580d888ad74c8f2aa.gif)
外研版初中英语初二上第一学期导学案合集
![一年级数学上册导学案 全册 西师大版](https://img.cdn06.goldhoe.com/2022-11/26/135c210b-a38f-430c-8d91-f4347294dac8/1f286cd810664a3c8240a00376a98f25.gif)
一年级数学上册导学案 全册 西师大版
![中考英语完型填空之教育类含答案专题练习](https://img.cdn06.goldhoe.com/2022-11/26/edb582b8-19fc-480b-8eb9-76005df1a2a7/6835025ec5a142b7b8e7fbb7aac9211f.gif)
中考英语完型填空之教育类含答案专题练习
![UFIDA用友软件的管理要求](https://img.cdn06.goldhoe.com/2022-11/26/07e050f6-068d-42d0-9365-1aa8d01acd95/2bbd3c9ce8c14b5d91e77f803c34f9c8.gif)
UFIDA用友软件的管理要求
![广西高考历史知识点梳理必考点素材](https://img.cdn06.goldhoe.com/2022-11/27/bc111ae5-922d-4df0-b3df-4813b54f9189/9a253d09e8f94811adfef2e54d731320.gif)
广西高考历史知识点梳理必考点素材
![TJ6标2017年质量控制管理计划](https://img.cdn06.goldhoe.com/2022-11/26/738eeb33-dbf6-4ea4-8d69-124e7cc7da14/562667c60ce440a1a858025bd659c498.gif)
TJ6标2017年质量控制管理计划
![TTT教材企业内部培训师技巧](https://img.cdn06.goldhoe.com/2022-11/26/45f89fdf-397b-4f21-898c-9a6d3840aab2/182340260ed14a4981a4b18818999b7b.gif)
TTT教材企业内部培训师技巧
![TL90003.0ALL](https://img.cdn06.goldhoe.com/2022-11/26/0e6f946e-ae71-470f-9251-1527c0d1cf7c/c0f113e736cd4e5d94bdf3121eea1138.gif)
TL90003.0ALL
![ad25经济型数控机床z向进给系统设计](https://img.cdn06.goldhoe.com/2022-11/26/a0bb1176-de58-4615-b88b-e2a8083f1a76/cfad8ecd0e974e66a764fc6203206dfc.gif)
ad25经济型数控机床z向进给系统设计
![三年级上册英语单元试题全套 译林版](https://img.cdn06.goldhoe.com/2022-11/26/7ca51904-3373-4e0c-903c-36ee118576db/2152338a4027449cb47c2898f4473586.gif)
三年级上册英语单元试题全套 译林版
![三年级上册数学导学案全册 西师大版](https://img.cdn06.goldhoe.com/2022-11/26/975fc027-ef70-4421-9d3e-b565334b0208/26545417c0e54b80893ce9eaa349dac8.gif)
三年级上册数学导学案全册 西师大版
![u促销主管岗位职责](https://img.cdn06.goldhoe.com/2022-11/26/bb5991ee-7599-48aa-8f2e-6da241b9d70b/fcc9f73beeff4509ae3f232db1af54d8.gif)
u促销主管岗位职责
![二年级上册语文素材一笔一画教学生书写人教](https://img.cdn06.goldhoe.com/2022-11/26/7dc2bfce-05da-43f6-a328-5093c7fd3318/44b32bf33a1a4e0ab8d8b45726e20900.gif)
二年级上册语文素材一笔一画教学生书写人教
![tz018中医院配房及急诊楼钢结构工程(公开招标](https://img.cdn06.goldhoe.com/2022-11/26/3028cc7f-de04-4c9a-9e50-3547769872b0/be5f96c7d5fe4fa68f9d7b578abd11e1.gif)
tz018中医院配房及急诊楼钢结构工程(公开招标
![TD公司现场环境及业务流程改善](https://img.cdn06.goldhoe.com/2022-11/26/5e53e31d-e096-4da5-acce-e53eba1eaa80/71c0f2ea3c534fd3b039bd5191789d8e.gif)
TD公司现场环境及业务流程改善
![后勤工作计划样本(四篇).doc](/Images/s.gif)
2024-02-12 11页
![某汽车维修服务公司绩效考核管理制度标准](/Images/s.gif)
2022-12-26 27页
![吊顶矿棉板施工方案设计](/Images/s.gif)
2023-08-26 6页
![季度工作计划表](/Images/s.gif)
2023-02-18 4页
![2022年护士长下半年长篇工作计划详情模板](/Images/s.gif)
2022-08-12 5页
![公司个人2022年述职报告5篇](/Images/s.gif)
2023-11-17 20页
![林清玄散文学生读书感悟文档](/Images/s.gif)
2023-06-11 7页
![幼儿园教研组中班工作计划(五篇).doc](/Images/s.gif)
2023-02-11 21页
![婚俗主题街道策划方案](/Images/s.gif)
2022-08-07 22页
![新员工工作总结报告](/Images/s.gif)
2023-03-27 15页