好文档就是一把金锄头!
欢迎来到金锄头文库![会员中心]
电子文档交易市场
安卓APP | ios版本
电子文档交易市场
安卓APP | ios版本

可编程逻辑器件指导书.doc

94页
  • 卖家[上传人]:今***
  • 文档编号:106176121
  • 上传时间:2019-10-14
  • 文档格式:DOC
  • 文档大小:2.75MB
  • / 94 举报 版权申诉 马上下载
  • 文本预览
  • 下载提示
  • 常见问题
    • 目录项目一 软件的安装和使用……………………………………………1任务一 软件的安装 ………………………………………………1任务二 USB-Blaster快速安装向导………………………………4任务三 软件的使用 ………………………………………………9项目二 信号分频 ……………………………………………………23项目三 编码器 ………………………………………………………25任务一 普通8—3编码器…………………………………………25任务二 8—3优先编码器…………………………………………27项目四 译码器 ………………………………………………………32任务一 3—8译码器………………………………………………32任务二 七段数码管显示译码器………………………………… 34任务三 六位数码管动态扫描显示电路设计与实现…………… 37任务四 十进制计数器…………………………………………… 42项目五 组合逻辑电路设计 …………………………………………47任务一 四舍五入判别电路………………………………………47任务二 举重裁判表决电路………………………………………50任务三 加法器……………………………………………………52项目六 时序逻辑电路的设计 ………………………………………58任务一 触发器……………………………………………………58任务二 单向移位寄存器…………………………………………63任务三 双向移位寄存器…………………………………………71项目七 计数器的设计 ………………………………………………77任务一 50进制计数器……………………………………………77任务二 100进制计数器 …………………………………………81任务三 计时秒表………………………………………………… 84项目八 多种频率输出控制器 ………………………………………89III项目一 软件的安装和使用任务一 软件的安装一、QuartusII安装说明:1. 光盘路径:quartusii\quartus\disk1中用鼠标左键双击setup.exe文件。

      启动界面如图1.1所示:图1.1 QuartusII安装界面2. 点击Next后,弹出说明对话框,如图1.2所示:图1.2 说明对话框3. 继续点击Next按钮后,弹出如图1.3所示的对话框,在上面空白处输入用户名,下面的输入公司的名字,这个可以任意填写写好后Next按钮编程可选状态我的安装软件用户名默认为微软用户,公司的名字默认为微软中国)图1.3 用户信息输入对话框4. 按下Next按钮后,进入安装路径选择界面,如图1.4所示建议安装在默认的路径)图1.4 安装路径选择对话框选择好安装路径之后,点击Next按钮之后会看见相关的安装信息,一直点击Next按钮知道进入安装过程安装之后会弹出一个结束对话框,点击finish按钮,完成安装二、Quartus II软件的授权1. 授权过程是将光盘路径:quartusii7\Altera-Quartus_V7_Win_Crack中的license.dat文件复制到安装目录下2. 还有在这个路径下的sys_cpt.dll文件复制到安装目录下的bin的文件夹里3. 在开始菜单栏,选择运行,输入cmd,按回车弹出如下图的对话框所示4. 输入ipconfig/all,弹出如下图的对话框所示5. 用红色圈起来的是你自己电脑的网卡号。

      6. 以记事本方式打开license,替换你的网卡号进去7. 打开QuartusII,导入license.dat菜单下tools/license.setup任务二 USB-Blaster快速安装向导1.通过USB电缆,将USB-Blaster与电脑相连在设备管理器中找到USB-Blaster后,单击右键选择革新驱动软件2.进入界面后,选择浏览计算机以查找驱动程序软件(R)3.进入浏览计算机以查找驱动程序软件界面后,在文本输入方框旁的单击浏览进入选择更新驱动软件的界面4.在该界面中选择你软件的安装目录下,比如这是在D盘的,D盘—altera—quartus—drivers—usb-blaster—x32(电脑系统是32位的Win7)—点击确定5.回到这个界面后,点击下一步6.系统会识别出该驱动,跳出该界面,询问是否访问,选择始终安装此驱动程序软件7.安装成功8.在usb-blaster连着电脑的情况下,打开quartusII,从菜单打开Tool>Programmer,从HardwareSetup设置使用usb-blaster编程器在单击Close就可以使用了任务三 软件的使用 主界面由3个子窗口组成,分别是设计输入编辑窗口(完成设计描述源文件的编辑)、消息窗口(编译/仿真过程的消息说明)和工程浏览窗口(快速浏览工程的各描述文件)。

      图1.5 Quartus II的系统主界面1. 设计输入Quartus II支持多种设计输入方法,即允许用户使用多种方法描述设计,常用的设计输入方式有:原理图输入,文本输入和第三方EDA工具输入 1) 创建新工程 Quartus II有工程的概念,所谓工程就是当前设计的描述、设置、数据以及输出的集合,Quartus II会将这些存储在不同类型的文件中并置于同一个文件夹下所以在设计之前,必须创建工程,具体步骤如下:i. 打开Quartus II软件,在主界面中执行File→New Project Wizard…命令,在弹出的对话框中指定设计工程的文件存放目录、工程名以及最顶层的设计实体名l 最上面的输入框:在此输入框输入指定工程文件存放的目录l 中间的输入框:在此对话框中输入新建工程的名字l 最下面的输入框:在此输入框中输入该设计工程最顶层的设计实体名说明:一般输入工程名和设计顶层的实体名默认是相同ii. 单击【Next】按钮,弹出如图1.7所示的对话框许多设计工程除了最顶层的设计文件之外,还会包含一些额外的电路模块描述文件或者定制的功能库设计者可以通过如图1.7所示的对话框将这些文件或者功能库添加到设计工程中。

      为了方便工程设计文件公里,建议将所有的设计文件集中到工程目录中iii. 单击【Next】按钮,弹出如图1.8所示的对话框系统会要求设计者指定工程所使用的芯片系列,可以选择自动选择芯片型号或者设计者指定.建议选择“Specific device selected in ‘Available devices’list’”选项,这样可以手动设置芯片参数,这个一般是硬件设计好之后,若对参数不熟悉一定要先参考Quartus II的帮助文件,弄清封装,管脚类型和芯片速度这3个设置项中的每个参数的具体含义如果选项自动选择芯片,对于绝大多数的应用只采用的默认设置即可,系统会根据实际的情况自动进行优化iv. 点击【Next】按钮进入EDA工具设置页面,如图1.9所示,用以第三方的综合器、仿真器和时序分析工具默认值为不使用第三方EDA工具,在本工程保持默认不变,直接点击【Next】按钮继续v. 创建新工程向导的最后一步,Quartus II会给出新建工程的摘要信息,点击【Finish】按钮即可完成向导在完成新建工程后,所有工程设置可以通过Assignments→Settings…菜单命令或者Ctrl+Shift+E快捷启动设置对话框进行修改。

      图1.6 设置工程名称及顶层实体名称图1.7添加设计文件图1.8 选择目标芯片图1.9 设置第三方EDA工具图1.10 新建工程摘要2. 文本输入法 执行菜单File→New…菜单命令打开新建对话框,如图1.11所示.选中Device Design Files选项卡中的VHDL File后点击【OK】按钮新建一个空白的VHDL文档Quartus II会自动将起命名为Vhdl1.vhd,这时执行File→Save命令或者使用Ctrl+S快捷键将其保存,保存对话框如图1.12所示 Quartus II会自动保存位置定位到工程目录并且默认命名为<顶层实体名>.vh这里只有一个实体,故counter就是顶层保持默认值不变,直接点击【保存】按钮保存文件 新建的VHDL文档中输入,分频器的VHDL菜单如下:library ieee;use ieee.std_logic_1164.all;use ieee.std_logic_arith.all;use ieee.std_logic_unsigned.all;entity shansuo isport( clk :in std_logic; clk_out:out std_logic; r:out std_logic_vector(3 downto 0) );end shansuo;architecture behieve of shansuo issignal clk_1k:std_logic;beginr<="0111";process(clk)variable cnt1:integer range 0 to 2000;variable cnt2:integer range 0 to 1250;begin if clk'event and clk='1'then if cnt1=2000 then cnt1:=0; if cnt2=1250 then cnt2:=0; clk_1k<=not clk_1k; else cnt2:=cnt2+1; end if; else cnt1:=cnt1+1; end if; end if;end process;clk_out<=clk_1k;end behieve;如果对VHDL语言语法结构不太了解的时候,可以通过Qusrtus II提供的自动完成格式工具的插入模版。

      用户可以在Quartus II界面的Text Editor工具栏中找到对应的按钮,如图1.13所示完成VHDL语言输入之后,执行Process→Analysis Current File菜单命令,启动Quartus II的语法检查功能,对当前文件进行分析如果在Message窗口中出现Error,在修改之后再次执行分析,直到没有错误提示为止3. 分配引脚 分配引脚的目的是为了设计指定输入输出引脚在目标芯片上的位置分配引脚的方法有许多种,这里介绍的Assignment Editor工具是一种比较常用的引脚分配方法 有些书籍和资料将分配引脚放在了编译之后进行,主要考虑到引脚需要占用片内的IO资源,引脚分配的不同会影响Quartus II的布局布线结果,也就会影响到设计的时序仿真而且Quartus II支持预先的I/O分配和确定操作(无论顶层的模块是否完成),这样可以在整个设计流程中尽早开始印制电路板(PCB)。

      点击阅读更多内容
      关于金锄头网 - 版权申诉 - 免责声明 - 诚邀英才 - 联系我们
      手机版 | 川公网安备 51140202000112号 | 经营许可证(蜀ICP备13022795号)
      ©2008-2016 by Sichuan Goldhoe Inc. All Rights Reserved.