电子文档交易市场
安卓APP | ios版本
电子文档交易市场
安卓APP | ios版本
换一换
首页 金锄头文库 > 资源分类 > PPT文档下载
分享到微信 分享到微博 分享到QQ空间

3.1vhdl基本知识

  • 资源ID:51733247       资源大小:114.50KB        全文页数:15页
  • 资源格式: PPT        下载积分:20金贝
快捷下载 游客一键下载
账号登录下载
微信登录下载
三方登录下载: 微信开放平台登录   支付宝登录   QQ登录  
二维码
微信扫一扫登录
下载资源需要20金贝
邮箱/手机:
温馨提示:
快捷下载时,用户名和密码都是您填写的邮箱或者手机号,方便查询和重复下载(系统自动生成)。
如填写123,账号就是123,密码也是123。
支付方式: 支付宝    微信支付   
验证码:   换一换

 
账号:
密码:
验证码:   换一换
  忘记密码?
    
1、金锄头文库是“C2C”交易模式,即卖家上传的文档直接由买家下载,本站只是中间服务平台,本站所有文档下载所得的收益全部归上传人(卖家)所有,作为网络服务商,若您的权利被侵害请及时联系右侧客服;
2、如你看到网页展示的文档有jinchutou.com水印,是因预览和防盗链等技术需要对部份页面进行转换压缩成图而已,我们并不对上传的文档进行任何编辑或修改,文档下载后都不会有jinchutou.com水印标识,下载后原文更清晰;
3、所有的PPT和DOC文档都被视为“模板”,允许上传人保留章节、目录结构的情况下删减部份的内容;下载前须认真查看,确认无误后再购买;
4、文档大部份都是可以预览的,金锄头文库作为内容存储提供商,无法对各卖家所售文档的真实性、完整性、准确性以及专业性等问题提供审核和保证,请慎重购买;
5、文档的总页数、文档格式和文档大小以系统显示为准(内容中显示的页数不一定正确),网站客服只以系统显示的页数、文件格式、文档大小作为仲裁依据;
6、如果您还有什么不清楚的或需要我们协助,可以点击右侧栏的客服。
下载须知 | 常见问题汇总

3.1vhdl基本知识

3.1 VHDL基本知识VHDL作为IEEE标准的硬件描述语言和EDA的 重要组成部分,经过十几年的发展、应用和完善 ,以其强大的系统描述能力、规范的程序设计结 构、灵活的语言表达风格和多层次的仿真测试手 段,在电子设计领域受到了普遍的认同和广泛的 接受,成为现代EDA领域的首选硬件设计语言。 专家认为,在新世纪中,VHDL与Verilog语言将 承担起几乎全部的数字系统设计任务。3.1.1 VHDL程序设计基本结构 结构体 (ARCHITECTURE)进程 或其它并行结构实体(ENTITY)配置(CONFIGURATION)库、程序包设 计 实 体1、 库、程序包库(LIBRARY)存放预先设计好的程序包和数 据的集合体。程序包(PACKAGE)将已定义的数据类型、元 件调用说明及子程序收集在一起,供VHDL设计实 体共享和调用,若干个包则形成库。IEEE库包括:STD_LOGIC_1164STD_LOGIC_ARITH是SYNOPSYS公司加 入IEEE库程序包,包括:STD_LOGIC_SIGNED(有符号数)STD_LOGIC_UNSIGNED(无符号数)STD_LOGIC_SMALL_INT(小整型数)VHDL 87版本使用IEEE STD 1076-1987 语法标 准VHDL 93版本使用IEEE STD 1076-1993 语法标 准例:LIBRARY IEEEUSE IEEE STD_LOGIC_1164.ALL描述器件的输入、输出端口数据类型中将要用到 的IEEE的标准库中的STD_LOGIC_1164程序包 。2、实体(ENTITY)说明格式:ENTITY 实体名 IS类属参数说明端口说明END 实体名; 规则:(1)类属参数说明必须放在端口说明之 前,用于指定如矢量位数、延迟时间等参数。例 如GENERIC(m:TIME:=1 ns);- -说明m是一个值为1ns的时间参数则程序语句:tmp1 <= d0 AND se1 AFTER m;- -表示d0 AND se1经1ns延迟后才送到tem1。(2)端口说明是描述器件的外部接口信号的说 明,相当于器件的引脚说明。其格式为:PORT(端口名,端口名:方向 数据类型名;:端口名,端口名:方向 数据类型名); 例如:PORT(a,b:IN STD_LOGIC;s:IN STD_LOGIC;y:OUT STD_LOGIC);端口方向包括:IN;-输入, 符号 :OUT;-输出, 符号:INOUT;-双向, 符号:BUFFER;-具有读功能的输出,符号 :D QBUFFER 端口3、结构体(ARCHITECTURE)是基本设计 单元的实体,用于指明设计基本单元的行为、元 件及内部连接关系,即定义设计单元的功能。 结构体的结构:ARCHITECTURE 结构体名 OF 实体名 IS说明语句;-为内部信号、常数、数据类型、函 数定义BEGIN功能描述语句END ARCHITECTURE 结构体名;例如:或门的结构体ARCHITECTURE or1 OF temp1 ISSIGNAL y:STD_LOGIC;BEGINy<=a OR b;END ARCHITECTURE or1;4、配置(CONFIGURATION)把特定的结 构体关联(指定给)一个确定的实体,为大型系 统的设计提供管理和工程组织。3.1.2 基本逻辑器件的描述 1、或门的描述 LIBRARY IEEE; USE IEEE.STD LOGIC 1164.ALL ; ENTITY or1 IS PORT(a,b:IN STD_LOGIC; y:OUT STD LOGIC); END or1; ARCHITECTURE example1 OF or1 IS BEGIN y<=a OR b; END example1;2、半加器的描述 LIBRARY IEEE; USE IEEE.STD LOGIC 1164.ALL ; ENTITY h_adder IS PORT(a,b:IN STD LOGIC; so,co:OUT STD LOGIC); END h_adder ; ARCHITECTURE example2 OF h_adder IS BEGIN so<=a XOR b; co<=a AND b; END example2; absoco3、2选1数据选择器的描述 LIBRARY IEEE; USE IEEE.STD LOGIC 1164.ALL ; ENTITY mux21 IS PORT(a,b:IN STD LOGIC;s:IN STD LOGIC;y:OUT STD LOGIC); END mux21; ARCHITECTURE example3 OF mux21 IS BEGIN y<=a WHEN s=0 ELSEb; END ARCHITECTURE example3;absy4、锁存器的描述qD QenadLIBRARY IEEE;USE IEEE.STD_LOGIC_1164.ALL; ENTITY latch1 ISPORT (d:IN STD_LOGIC;ena:IN STD_LOGIC;q:OUT STD_LOGIC);END latch1;ARCHITECTURE example4 OF latch1 ISSIGNAL sig_save:STD_LOGIC;BEGIN PROCESS (d,ena)BEGINIF ena='1' THENSig_save<=D;END IF;Q<=sig_save;END PROCESS;END example4;

注意事项

本文(3.1vhdl基本知识)为本站会员(正**)主动上传,金锄头文库仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对上载内容本身不做任何修改或编辑。 若此文所含内容侵犯了您的版权或隐私,请立即阅读金锄头文库的“版权提示”【网址:https://www.jinchutou.com/h-59.html】,按提示上传提交保证函及证明材料,经审查核实后我们立即给予删除!

温馨提示:如果因为网速或其他原因下载失败请重新下载,重复下载不扣分。




关于金锄头网 - 版权申诉 - 免责声明 - 诚邀英才 - 联系我们
手机版 | 川公网安备 51140202000112号 | 经营许可证(蜀ICP备13022795号)
©2008-2016 by Sichuan Goldhoe Inc. All Rights Reserved.