电子文档交易市场
安卓APP | ios版本
电子文档交易市场
安卓APP | ios版本
换一换
首页 金锄头文库 > 资源分类 > DOC文档下载
分享到微信 分享到微博 分享到QQ空间

VHDL语言与EDA课程设计数字频率计

  • 资源ID:432891243       资源大小:148.50KB        全文页数:18页
  • 资源格式: DOC        下载积分:15金贝
快捷下载 游客一键下载
账号登录下载
微信登录下载
三方登录下载: 微信开放平台登录   支付宝登录   QQ登录  
二维码
微信扫一扫登录
下载资源需要15金贝
邮箱/手机:
温馨提示:
快捷下载时,用户名和密码都是您填写的邮箱或者手机号,方便查询和重复下载(系统自动生成)。
如填写123,账号就是123,密码也是123。
支付方式: 支付宝    微信支付   
验证码:   换一换

 
账号:
密码:
验证码:   换一换
  忘记密码?
    
1、金锄头文库是“C2C”交易模式,即卖家上传的文档直接由买家下载,本站只是中间服务平台,本站所有文档下载所得的收益全部归上传人(卖家)所有,作为网络服务商,若您的权利被侵害请及时联系右侧客服;
2、如你看到网页展示的文档有jinchutou.com水印,是因预览和防盗链等技术需要对部份页面进行转换压缩成图而已,我们并不对上传的文档进行任何编辑或修改,文档下载后都不会有jinchutou.com水印标识,下载后原文更清晰;
3、所有的PPT和DOC文档都被视为“模板”,允许上传人保留章节、目录结构的情况下删减部份的内容;下载前须认真查看,确认无误后再购买;
4、文档大部份都是可以预览的,金锄头文库作为内容存储提供商,无法对各卖家所售文档的真实性、完整性、准确性以及专业性等问题提供审核和保证,请慎重购买;
5、文档的总页数、文档格式和文档大小以系统显示为准(内容中显示的页数不一定正确),网站客服只以系统显示的页数、文件格式、文档大小作为仲裁依据;
6、如果您还有什么不清楚的或需要我们协助,可以点击右侧栏的客服。
下载须知 | 常见问题汇总

VHDL语言与EDA课程设计数字频率计

湖南人文科技学院课程设计报告课程名称:VHDL语言与EDA课程设计设计题目: 数字频率计 系 别: 通信与控制工程系 专 业: 电子信息工程 班 级: 08级电信二班 学生姓名: 学 号: 起止日期: 11年6月13日 11年6月23日 指导教师: 教研室主任: 3指导教师评语: 指导教师签名: 年 月 日成绩评定项 目权重成绩周杰卢欧1、设计过程中出勤、学习态度等方面0.22、课程设计质量与答辩0.53、设计报告书写及图纸规范程度0.3总 成 绩 教研室审核意见:教研室主任签字: 年 月 日教学系审核意见: 主任签字: 年 月 日摘 要数字频率计是直接用十进制数字来显示被测信号频率的一种测量装置,是计算机,通讯设备、音频设音频视频等科研生产领域不可缺少的测量仪器。本次课程设计设计以EDA工具作为开发手段,运用VHDL语言,将使整个系统大大简化,提高整体的性能和可靠性。EDA(Electronic Design Automation)即电子设计自动化。EDA技术指的是以计算机硬件和系统软件为基本工作平台,以大规模可编程逻辑器件为设计载体,以硬件描述语言为系统设计的主要表达方式,自动完成集成电子系统设计的一门新技术。本设计用VHDL在CPLD器件上实现一种8 位十进制数字频率计测频系统,能够用十进制数码显示被测信号的频率,能够测量正弦波、方波和三角波等信号的频率,具有体积小、可靠性高、功耗低的特点,设计出的频率计能够准确的测出输入信号的频率,最后通过系统仿真,下载、验证和调试运行,实现了一个性能良好的8位数字频率计初步实现了设计目标。其基本原理是使用一个频率稳定性高的频率作为基准,对比测量其他信号的频率,即计算每秒钟内待测信号的脉冲个数。该数字频率计可以在不更改硬件电路的基础上,对系统进行各种改进还可以进一步提高系统的性能,而且整个系统非常精简,具有高速、精确、可靠、抗干扰性强和现场可编程等优点,实用性极高。本文详细描述了数字频率计的设计流程及正确实现。关键词:数字频率计;EDA;VHDL;Quartus目 录设计要求11、方案论证与对比11.1方案对比11.2方案选择22.总体模块设计23.单元模块设计33.1 顶层模块设计33.2 测频控制模块43.3十进位计数模块53.4 测频锁存模块74.系统仿真85.硬件下载测试96.总结与致谢10参考文献11附录12数字频率计 设计要求1能够显示的频率为8位10进制;2测量的波形的电压最大值小于5V;3能测量正弦波、三角波、方波或其他周期性波形的频率;3用数码管显示测试的结果。 1、方案论证与对比1.1方案对比方案一:使用ATMEL公司的AT89C51实现一基于单片机的设计,用单片机定时器和计数器来实现对频率的测量,直接用十进制数字显示被测信号频率的一种测量装置。它以用测量频率的方法对TTL方波频率进行自动测量,使用该单片作为控制器件使被测频率信号通过信号处理电路,闸门时间与被测信号与非处理,产生信号脉冲,经过分频电路,然后送入单片机进行运算和处理,单片机将处理的数据通过显示器显示。其方案方案设计框图如图1所示: 整形电路计数器寄存器显示器同步检测自动换挡量程选择分频器时钟 图1 整体方案设计图方案二:基于EDA技术和VHDL语言为程序设计语言在器件上实现数字频率计测频系统,其基本原理是使用一个频率稳定性高的频率作为基准,对比测量其他信号的频率,即计算每秒钟内待测信号的脉冲个数。该数字频率计可以在不更改硬件电路的基础上,对系统进行各种改进还可以进一步提高系统的性能,然后用8位十进制数码显示被测信号的频率,设计出的频率计能够准确的测出输入信号的频率,最后通过系统仿真,下载、验证和调试运行,实现了一个性能良好的8位数字频率计设计目标。其基本框图如图2所示: 译码驱动电路锁存器信号整形电路数码显示计数器 脉冲发生器测频控制信号发生器图2 数字频率计原理框图1.2方案选择单片机与EDA技术相比,EDA以计算机硬件和系统软件为基本工作平台,以大规模可编程逻辑器件为设计载体,以硬件描述语言为系统设计的主要表达方式,自动完成集成电子系统设计的一门新技术1。其基本原理是使用一个频率稳定性高的频率作为基准,对比测量其他信号的频率,即计算每秒钟内待测信号的脉冲个数。该数字频率计可以在不更改硬件电路的基础上,对系统进行各种改进还可以进一步提高系统的性能,而且整个系统非常精简,具有高速、精确、可靠、抗干扰性强和现场可编程等优点,实用性极高。本设计就是采用vhdl语言和EDA技术的设计流程来正确实现频率计的设计。VHDL语言具有很强大的电路描述和建模能力,能从多个层次对数字系统进行建模和描述,从而大大简化了硬件设计任务,提高了设计效率和可靠性。VHDL支持各种模式的设计方法:自顶向下与自底向上或混合方法。用VHDL进行电子系统设计的一个很大的优点是设计者可以专心致力于其功能的实现,所以选择方案二。2.总体模块设计频率计的基本原理是用一个频率稳定度高的频率源作为基准时钟,对比测量其他信号的频率,通常情况下计算每秒内待测信号的脉冲个数,此时我们称闸门时间为1秒。闸门时间也可以大于或小于一秒2。频率信号易于传输,抗干扰性强,可以获得较好的测量精度。因此,频率检测是电子测量领域最基本的测量之一。本文的数字频率计是按照计算每秒内待测信号的脉冲个数的基本原理来设计,此时取闸门时间为1秒。数字频率计的关键组成部分包括一个测频控制信号发生器、一个计数器和一个锁存器,另外包含信号整形电路、脉冲发生器、译码驱动电路和显示电路,其流程图如下面面的图3所示:设计说明书建立VHDL行为模型VHDL行为仿真VHDL-RTL级建模前端功能仿真逻辑综合测试向量生成功能仿真结构综合门级时序仿真硬件测试设计完成图3 设计流程的框图工作过程:系统正常工作时,脉冲信号发生器输入1Hz的标准信号,经过测频控制信号发生器的处理,2分频后即可产生一个脉宽为1秒的时钟信号,以此作为计数闸门信号。测量信号时,将被测信号通过信号整形电路,产生同频率的矩形波,输入计数器作为时钟。当计数闸门信号高电平有效时,计数器开始计数,并将计数结果送入锁存器中。设置锁存器的好处是显示的数据稳定,不会由于周期性的清零信号而不断闪烁。最后将锁存的数值由外部的七段译码器译码并在数码管上显示。3.单元模块设计3.1 顶层模块设计由于综合工具可以将高级别的模型转化生成为门级模型,所以整个设计过程基本是由计算机自动完成的。认为介入的方式主要是根据仿真的结果和优化的指标,控制逻辑综合的方式和指向3。 图4是频率计的顶层设计的原理图。其中模块control是测频时序控制模块,cnt10_8模块是是十位计数器模块,latch8是测频时序锁存模块,还有选定各个引脚,这些模块是由VHDL语言设计之后生成的,将这些模块连接起来,从而实现其顶层模块的功能,如图4所示。图4 顶层设计的原理图3.2 测频控制模块 1、此模块主要由时钟输入、计数器时钟使能、计数器清零、输出锁存构成。其具体的实现是由一个1秒的输入信号脉冲计数允许的信号,1秒计数结束后,计数值被锁入锁存器,计数器清0,为下一测频计数周期作好准备。2、具体程序如下。LIBRARY IEEE; 测频控制电路USE IEEE.STD_LOGIC_1164.ALL;USE IEEE.STD_LOGIC_UNSIGNED.ALL;ENTITY control IS PORT (clk : IN STD_LOGIC; 定义逻辑电路的端口 cen : OUT STD_LOGIC; rst : OUT STD_LOGIC; load : OUT STD_LOGIC ); END control ;ARCHITECTURE behav OF control IS 语句说明 SIGNAL Div2CLK : STD_LOGIC;BEGIN 功能描述语句 PROCESS( clk ) BEGIN IF clk'EVENT AND clk = '1' THEN 在顺序语句IF条件下选择高电平 Div2CLK <= NOT Div2CLK; END IF; END PROCESS; PROCESS (clk, Div2CLK) BEGIN IF clk='0' AND Div2CLK='0' THEN rst<='1' ELSE rst <= '0' END IF; 确保CLK的变化是一次上升沿的跳变 END PROCESS; load <= NOT Div2CLK; cen <= Div2CLK;END behav;3.3十进位计数模块1、此模块先设计单个十进制计数模块,再设计八位十进制计数模块,将先设计好的单个十进制计数模块导入到八进制计数模块中,就可以完成八位十进制计数模块的设计,这样设计的好处是,减小了程序设计的复杂度,而且层次感强。2、主要涉及的程序见下面的两个程序。library ieee; 单个十进制计数模块use ieee.std_logic_1164.all;use ieee.std_logic_unsigned.all; entity cnt10 is port (rst,fx,ena:

注意事项

本文(VHDL语言与EDA课程设计数字频率计)为本站会员(博****1)主动上传,金锄头文库仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对上载内容本身不做任何修改或编辑。 若此文所含内容侵犯了您的版权或隐私,请立即阅读金锄头文库的“版权提示”【网址:https://www.jinchutou.com/h-59.html】,按提示上传提交保证函及证明材料,经审查核实后我们立即给予删除!

温馨提示:如果因为网速或其他原因下载失败请重新下载,重复下载不扣分。




关于金锄头网 - 版权申诉 - 免责声明 - 诚邀英才 - 联系我们
手机版 | 川公网安备 51140202000112号 | 经营许可证(蜀ICP备13022795号)
©2008-2016 by Sichuan Goldhoe Inc. All Rights Reserved.