电子文档交易市场
安卓APP | ios版本
电子文档交易市场
安卓APP | ios版本

数字电子钟逻辑电路设计new.doc

6页
  • 卖家[上传人]:marr****208
  • 文档编号:146251521
  • 上传时间:2020-09-28
  • 文档格式:DOC
  • 文档大小:74.50KB
  • / 6 举报 版权申诉 马上下载
  • 文本预览
  • 下载提示
  • 常见问题
    • 1、 内蒙古师范大学计算机与信息工程学院 数字电路数字电路课程设计报告课程设计报告 实习题目实习题目 指导教师指导教师职职 称称 学生姓名学生姓名 学学 号号 日日 期期 实习题目实习题目 指导教师指导教师职职 称称 学生姓名学生姓名 学学 号号 日日 期期 实习题目实习题目 指导教师指导教师职职 称称 学生姓名学生姓名 学学 号号 日日 期期 设计题目设计题目数字电子钟逻辑电路设计 指导教师指导教师张鹏举职称职称讲师 姓姓 名名 学学 号号 20101102055 日日 期期 2012-07-05 1 数字电子钟逻辑电路设计 计算机与信息工程学院 2010 级计科师范汉班班 程锦 20101102055 指导教师 张鹏举 讲师 摘要 通过 74LS161 的置数功能,分别实现时钟的时,分,秒的不同进位,当秒的个位为 9 时,第一片 74LS161 实现置数功能,把个位置零的同时并向十位发出脉冲信号,分的功能 和秒相同,当时的个位同秒的个位,但是当小时的个位为 4,十位为 2 时,实现整个电路的统 一清零。 关键词 74LS161;清零;置数 1 工作原理 一个基本的数字钟电路系统主要有“

      2、秒”信号发生器、 “时” 、 “分” 、 “秒”计 数器、译码器及显示器电路组成。 “秒”信号产生器是整个系统的时基信号, “秒” 计数器采用60进制计数法,其是由2片74LS161采用清零法串联而成,每累计60秒发 出一个分脉冲信号。从“秒”计数器输出的该信号将被送到 “分”计数器。 “分” 计数器也采用60进制计数法,每累计60分钟,发出一个时脉冲信号,此计数原理与 “秒”计数器完全相同。从“分”计数器输出的该信号将被送到“时”计数器。 “时”计数器采用24进制计时器。将“时” 、 “分” 、 “秒”计数器的输出状态接到七 段显示器上,通过LED七段显示器显示出来。 本系统采用计数器、译码器、显示器、校时电路组成。由 LED 七段数码管来显 示译码器所输出的信号。采用了 74LS161 小规模集成芯片。 2 设计步骤及方法 一个基本的数字钟电路主要由译码显示器,“时” , “分” , “秒”计数器和定时 器组成。电路系统由秒信号发生器“时” 、 “分” 、 “秒”计数器、译码器及显示器电 路组成。 2 首先构成一个周期为一秒的标准“秒”脉冲信号,由74LS161采用置数法分别 组成

      3、六十进制的“秒”计数器、六十进制“分”计数器,24进制“时”计数器。置 数法适用于具有预置数功能的集成计数器。对于就有预置数功能的计数器而言,在 其计数过程中可以将它输出的任意一个状态通过译码,产生一个预置数控制信号反 馈至预置数控制端,在下一个CP脉冲作用后,计数器会把预置数输入端的状态置入 输出端。预置数控制信号消失后,计数器就从被置入的状态开始重新计数。 2.1 秒计数器的设计 秒信号发生器是数字钟的核心部分,它的精度和稳定度决定了时信号发生器和 分信号发生器的精度。 “秒”计数器为 60 进制计数器。60 进制可通过十进制和六 进制串联而成,从而完成数码显示。因为同步加法计数器 74LS161 可构成 10 进制 以下的计数器,所以此电路中秒的计时采用 74LS161 来进行设计。 2.2 分计数器的设计 “分”计数器也是 60 进制计数器。同“秒”计数器一样是由 74LS161 计数器 设计构成。 2.3 时计数器的设计 时计数器是 24 进制计数器。考虑到器件的统一能增强调试的成功性,同样采 用两片 74LS161 十进制计数器产生计数和进位. 3 电路总体说明 3.1 计

      4、数器 3.1.1 60 进制计数器 在数字钟的控制电路中,分和秒的控制都是一样的,都是60进制,都是由一个 十进制计数器和一个六进制计数器串联而成的,在电路的设计中我采用的是统一的 器件74LS161的反馈置数法来实现十进制功能和六进制功能。根据74LS161的结构 把输出端的0101(十进制为5)用一个与非门74LS00引到LD反端便可置数,这样就 实现了六进制计数。在分和秒的进位时,用秒计数器的LD端接分计数器的CP控制时 钟脉冲,脉冲在上升沿来时计数器开始计数。 3.1.2 24 进制计数器 数字钟小时的个位仍然采用要十进制,但是在小时的十位要有统一清零的功能, 3 也就是说当时钟小时的十位为2时,个位数为3时,整个时钟要统一清零,因为清零 不稳定,所以当个位为4时再统一清零,也就是十位的Q1与个位的Q2通过与非门一 起输出,输出端分别接6片161的清零端RD反。 3.1.3 电路原理总图 U1 74LS161N QA 14 QB 13 QC 12 QD 11 RCO 15 A 3 B 4 C 5 D 6 ENP 7 ENT 10 LOAD 9 CLR 1 CLK 2 U3 74

      5、LS161N QA 14 QB 13 QC 12 QD 11 RCO 15 A 3 B 4 C 5 D 6 ENP 7 ENT 10 LOAD 9 CLR 1 CLK 2 U4 74LS161N QA 14 QB 13 QC 12 QD 11 RCO 15 A 3 B 4 C 5 D 6 ENP 7 ENT 10 LOAD 9 CLR 1 CLK 2 U5 74LS161N QA 14 QB 13 QC 12 QD 11 RCO 15 A 3 B 4 C 5 D 6 ENP 7 ENT 10 LOAD 9 CLR 1 CLK 2 U6 74LS161N QA 14 QB 13 QC 12 QD 11 RCO 15 A 3 B 4 C 5 D 6 ENP 7 ENT 10 LOAD 9 CLR 1 CLK 2 U7A 74LS00N U8A 74LS00N U9A 74LS00N U11A 74LS00N U12A 74LS00N U13A 74LS00N VCC 5V U2 74LS161N QA 14 QB 13 QC 12 QD 11 RCO 15 A 3 B 4 C 5 D 6

      6、ENP 7 ENT 10 LOAD 9 CLR 1 CLK 2 0 VCC VCC 5V 0 VCC 1 2 4 5 7 8 10 11 36 9 12 13 14 15 16 17 20 图 1 总电路图 4 小结 通过完成这个电路整个电路设计与制作的整个过程,我知道了自己的不足,知 道了自己对芯片的了解和认识还是太欠缺,这个实验使我更深的了解芯片的功能和 芯片间的连接,通过不同功能芯片的连接实现了实验的要求,还有制作电路时的每 个步骤,每个环节都需要认真严谨一丝不苟,使我掌握了对电子钟的设计, 组装与 4 调试方法。 熟悉了中、小规模集成电路的使用。 通过理论与实践的结合,进一步深入的体会到一种学习的方法,特别是对与电 子设计方面。首先要明确总体的设计方案与方法;这是本次实验的第一步,也是本 实验最重要的一步,如果前期的电路图都设计错了,就不可能出实验结果了,所以 在设计电路过程中一定要相当的认真,我们组在翻阅了大量资料后并结合了我们实 验室的具体情况后,决定采用 74ls161 为主要芯片来实现本次实验的功能。了解了 74ls161 的功能后,经过两天时间,我们组设计出本次实验的

      7、基本电路图。其次是 对各个部分进行设计与改进;并在不断改进中,逐渐完美自己的电路图,就拿我这 个电路图来说,最开始我采用的是利用 74ls161 的清零功能来实现循环后清零,但 是通过实验发现清零并不稳定,不能保证时钟每次都完美的实现进位与清零,在不 断改进中,我把清零改成了置数,把输入端 D0 到 D3 都接地,在通过置数实现循环, 把每位循环后都置数为零,同样也实现了课程要求,而且精度与稳定性大大提高。 在数字钟实验设计当中遇到的首要问题有三个:一是电路的总体设计问题;二 是电路的联接问题;三是电路的调试问题。基于所学数字电路知识的局限性,在选 择元器件方面有所困难,开始无从下手应该确定使用何种元件。通过查找资料等过 程首先确定了元件,从而确定了总电路图。然后开始了电路图的初次连接,在连接 中同样遇到了很多问题,比如面包板的当地线的一端没有全部连接,很多导线没有 完全插入面包板,造成接触不良,最终导致实验结果出不来。我和同组同学用万用 表经过反复测量,把断开的和接触不良的地方全部重联,并在后期的调试中不端改 善实验误差,其中包括更换实验箱,换用实验芯片,换用面包板的方法。最后经过

      8、调试,实验结果也完美的出现在我们眼前。 有句名言说得好,失败是成功之母。我们这次的实验也是经历了一次又一次的 失败后最终才调试通过的。还记得刚开始时的艰辛,由于对实验估计不足,还有实 验器材的损坏,导致开始时怎么都无法得出结果,还好在请教过通信班的同学后, 难题才迎刃而解。在请老师检验连好的面包板时,由于我们的面包板背后的金属片 总会掉出来,所以我们必须用手摁住面包板,原本我们认为这个问题是无关结果的 小问题,而老师对我们严格要求,要求我们把这个问题解决掉,我们于是立刻开始 着手解决了这个问题后才获得了老师的通过。再次要感谢老师的严格要求,让我明 白了实验的严谨性。同时我也要特别感谢我的搭档裴栋同学还有其他帮助过我们的 5 同学,没有他们的帮助我们的实验是很难完成的。 参考文献 1 毛期俭. 数字电路与逻辑设计实验及应用. 北京: 人民邮电出版社,2005 2 吕思忠,施齐云. 数字电路实验与课程设计. 哈尔滨: 哈尔滨工程大学出版社,2003 3 邓勇,周铎,邓斌. 数字电路设计完全手册. 北京: 国防科技大学出版社,2004.6 4 赵淑范. 数字电子钟的设计. 长春大学学报,2004.8,14,4:26-40 5 George Burbridge Clayton,steve winder. Operational Amplifiers. America,2003

      《数字电子钟逻辑电路设计new.doc》由会员marr****208分享,可在线阅读,更多相关《数字电子钟逻辑电路设计new.doc》请在金锄头文库上搜索。

      点击阅读更多内容
    最新标签
    发车时刻表 长途客运 入党志愿书填写模板精品 庆祝建党101周年多体裁诗歌朗诵素材汇编10篇唯一微庆祝 智能家居系统本科论文 心得感悟 雁楠中学 20230513224122 2022 公安主题党日 部编版四年级第三单元综合性学习课件 机关事务中心2022年全面依法治区工作总结及来年工作安排 入党积极分子自我推荐 世界水日ppt 关于构建更高水平的全民健身公共服务体系的意见 空气单元分析 哈里德课件 2022年乡村振兴驻村工作计划 空气教材分析 五年级下册科学教材分析 退役军人事务局季度工作总结 集装箱房合同 2021年财务报表 2022年继续教育公需课 2022年公需课 2022年日历每月一张 名词性从句在写作中的应用 局域网技术与局域网组建 施工网格 薪资体系 运维实施方案 硫酸安全技术 柔韧训练 既有居住建筑节能改造技术规程 建筑工地疫情防控 大型工程技术风险 磷酸二氢钾 2022年小学三年级语文下册教学总结例文 少儿美术-小花 2022年环保倡议书模板六篇 2022年监理辞职报告精选 2022年畅想未来记叙文精品 企业信息化建设与管理课程实验指导书范本 草房子读后感-第1篇 小数乘整数教学PPT课件人教版五年级数学上册 2022年教师个人工作计划范本-工作计划 国学小名士经典诵读电视大赛观后感诵读经典传承美德 医疗质量管理制度 2 2022年小学体育教师学期工作总结 2022年家长会心得体会集合15篇
    关于金锄头网 - 版权申诉 - 免责声明 - 诚邀英才 - 联系我们
    手机版 | 川公网安备 51140202000112号 | 经营许可证(蜀ICP备13022795号)
    ©2008-2016 by Sichuan Goldhoe Inc. All Rights Reserved.