电子文档交易市场
安卓APP | ios版本
电子文档交易市场
安卓APP | ios版本
换一换
首页 金锄头文库 > 资源分类 > PPT文档下载
分享到微信 分享到微博 分享到QQ空间

EDA技术及其应用 教学课件 ppt 作者 潘松第1章 概述

  • 资源ID:94354167       资源大小:1.51MB        全文页数:35页
  • 资源格式: PPT        下载积分:10金贝
快捷下载 游客一键下载
账号登录下载
微信登录下载
三方登录下载: 微信开放平台登录   支付宝登录   QQ登录  
二维码
微信扫一扫登录
下载资源需要10金贝
邮箱/手机:
温馨提示:
快捷下载时,用户名和密码都是您填写的邮箱或者手机号,方便查询和重复下载(系统自动生成)。
如填写123,账号就是123,密码也是123。
支付方式: 支付宝    微信支付   
验证码:   换一换

 
账号:
密码:
验证码:   换一换
  忘记密码?
    
1、金锄头文库是“C2C”交易模式,即卖家上传的文档直接由买家下载,本站只是中间服务平台,本站所有文档下载所得的收益全部归上传人(卖家)所有,作为网络服务商,若您的权利被侵害请及时联系右侧客服;
2、如你看到网页展示的文档有jinchutou.com水印,是因预览和防盗链等技术需要对部份页面进行转换压缩成图而已,我们并不对上传的文档进行任何编辑或修改,文档下载后都不会有jinchutou.com水印标识,下载后原文更清晰;
3、所有的PPT和DOC文档都被视为“模板”,允许上传人保留章节、目录结构的情况下删减部份的内容;下载前须认真查看,确认无误后再购买;
4、文档大部份都是可以预览的,金锄头文库作为内容存储提供商,无法对各卖家所售文档的真实性、完整性、准确性以及专业性等问题提供审核和保证,请慎重购买;
5、文档的总页数、文档格式和文档大小以系统显示为准(内容中显示的页数不一定正确),网站客服只以系统显示的页数、文件格式、文档大小作为仲裁依据;
6、如果您还有什么不清楚的或需要我们协助,可以点击右侧栏的客服。
下载须知 | 常见问题汇总

EDA技术及其应用 教学课件 ppt 作者 潘松第1章 概述

EDA技术及其应用,第1章 概 述,1.1 EDA技术,EDA (Electronic Design Automation),EDA技术就是依赖功能强大的计算机,在EDA工具软件平台上,对以硬件描述语言HDL (Hardware Description Language)为系统逻辑描述手段完成的设计文件,自动地完成逻辑编译、化简、分割、综合、布局布线以及逻辑优化和仿真测试,直至实现既定的电子线路系统功能。,EDA技术为现代电子理论和设计的表达与实现提供了可能性。,1.1 EDA技术,EDA技术发展阶段,在FPGA上实现DSP应用,在一片FPGA中实现一个完备的嵌入式系统, EDA软件不断推出, EDA使得电子领域各学科的界限更加模糊,更加互为包容,基于EDA的用于ASIC设计的标准单元已涵盖大规模电子系统及复杂IP核模块,软硬IP(Intellectual Property)核广泛应用, SoC高效低成本设计技术的成熟,系统级、行为验证级硬件描述语言的出现,使复杂电子系统的设计和验证趋于简单,1.2 EDA技术应用对象,1. 大规模可编程逻辑器件,2. 半定制或全定制ASIC,门阵列ASIC,标准单元ASIC,全定制芯片,3. 混合ASIC,1.3 VHDL,VHDL-VHSIC(Very High Speed Integrated Circuit)Hardware Description Language,VHDL语言具有很强的电路描述和建模能力,能从多个层次对数字系统进行建模和描述,从而大大简化了硬件设计任务,提高了设计效率和可靠性。,VHDL具有与具体硬件电路无关和与设计平台无关的特性,并且具有良好的电路行为描述和系统描述的能力,并在语言易读性和层次化结构化设计方面,表现了强大的生命力和应用潜力。,1.4 EDA的优势,1.5 面向FPGA的EDA开发流程,1.5.1 设计输入,图1-1 FPGA的EDA开发流程,1.5 面向FPGA的EDA开发流程,1.5.1 设计输入,1. 图形输入,状态图输入,波形图输入,原理图输入,2. 硬件描述语言文本输入,将使用了某种硬件描述语言(HDL)的电路设计文本,如VHDL或Verilog的源程序,进行编辑输入。,1.5 面向FPGA的EDA开发流程,1.5.2 综合,将用行为和功能层次表达的电子系统转换为低层次的便于具体实现的模块组合装配而成的过程。,1.5 面向FPGA的EDA开发流程,1.5.3 适配(布线布局),适配器也称结构综合器,它的功能是将由综合器产生的网表文件配置于指定的目标器件中,使之产生最终的下载文件,如JEDEC、Jam格式的文件。适配所选定的目标器件必须属于原综合器指定的目标器件系列。,1.5.4 仿真,时序仿真,功能仿真,1.6 PLD,1.6.1 PLD的分类,1.6 PLD,1.6.2 PROM可编程原理,图1-2 PLD的互补缓冲器 图1-3 PLD的互补输入 图1-4 PLD中与阵列表示,图1-5 PLD中或阵列的表示 图1-6 阵列线连接表示,1.6 PLD,1.6.2 PROM可编程原理,图1-7 PROM表达的PLD阵列图,1.6 PLD,1.6.2 PROM可编程原理,图1-8 用PROM完成半加器逻辑阵列,1.6 PLD,1.6.3 GAL,GAL的OLMC单元设有多种组态,可配置成专用组合输出、专用输入、组合输出双向口、寄存器输出、寄存器输出双向口等,为逻辑电路设计提供了极大的灵活性。由于具有结构重构和输出端的任何功能均可移到另一输出引脚上的功能,在一定程度上,简化了电路板的布局布线,使系统的可靠性进一步地提高。GAL的出现是复杂PLD的里程碑。,1.7 CPLD的结构与可编程原理,图1-9 MAX7000系列的单个逻辑宏单元结构,1.7 CPLD的结构与可编程原理,图1-10 MAX7128S的结构,1逻辑阵列块,对于每个LAB,输入信号来自3部分: (1)来自作为通用逻辑输入的PIA的36个信号; (2)来自全局控制信号,用于寄存器辅助功能; (3)从I/O引脚到寄存器的直接输入通道。,1.7 CPLD的结构与可编程原理,2逻辑宏单元,每个可编程寄存器可以按三种时钟输入模式工作: 全局时钟信号。 全局时钟信号由高电平有效的时钟信号使能。 用乘积项实现一个阵列时钟。,1.7 CPLD的结构与可编程原理,图1-11 PIA信号布线到LAB的方式,3可编程连线阵列,1.7 CPLD的结构与可编程原理,图1-12 EPM7128S器件的I/O控制块,4I/O控制块,1.8 FPGA的结构与工作原理,图1-13 FPGA查找表单元,1.8.1 查找表逻辑结构,图1-14 FPGA查找表单元内部结构,图1-15 Cyclone LE结构图,1.8.2 FPGA的结构与原理,1.8 FPGA的结构与工作原理,1.8.2 FPGA的结构与原理,图1-16 Cyclone LE普通模式,1.8 FPGA的结构与工作原理,1.8.2 FPGA的结构与原理,图1-17 Cyclone LAB结构,在Cyclone器件里面存在大量LAB 图1-17所示的LE排列成LAB阵列构成了Cyclone FPGA丰富的编程资源。,1.8 FPGA的结构与工作原理,1.8.2 FPGA的结构与原理,图1-18 LAB阵列,1.9 硬件测试技术,1.9.1 内部逻辑测试,在设计时加入用于测试的部分逻辑,即进行可测性设计(DFT,Design For Test),在设计完成后用来测试关键逻辑。,在ASIC设计中的扫描寄存器,是可测性设计的一种,原理是把ASIC中关键逻辑部分的普通寄存器用测试扫描寄存器来代替,在测试中可以动态地测试、分析设计其中寄存器所处的状态,甚至对某个寄存器加以激励信号,改变该寄存器的状态。,1.9 硬件测试技术,1.9.2 JTAG边界扫描测试,表1-1 边界扫描IO引脚功能,1.10 FPGA/CPLD产品概述,1.10.1 Altera公司FPGA和CPLD器件系列,2. ACEX系列FPGA,Altera专门为通信(如xDSL调制解调器、路由器等)、音频处理及其他一些场合的应用而推出的芯片系列。,3. MAX系列CPLD,4. Cyclone系列FPGA低成本FPGA,5. Cyclone II系列FPGA,1.10 FPGA/CPLD产品概述,1.10.1 Altera公司FPGA和CPLD器件系列,1. Stratix II 系列FPGA,Stratix II提供了高速I/O信号和接口: 专用串行/解串(SERDES)电路。 动态相位调整(DPA)电路。 支持差分I/O信号电平,包括HyperTransport、LVDS、LVPECL及差分SSTL和HSTL。 提供外部存储器接口。,1.10 FPGA/CPLD产品概述,1.10.2 Lattice公司CPLD器件系列,1.10.3 Xilinx公司的FPGA和CPLD器件系列,1. Virtex-4系列FPGA,2. Spartan& Spartan-3 & Spartan 3E器件系列,3. XC9500 & XC9500XL系列CPLD,1.11 编程与配置,目前常见的大规模可编程逻辑器件的编程工艺有三种:,1基于电可擦除存储单元的EEPROM或Flash技术。,2基于SRAM查找表的编程单元。,3基于反熔丝编程单元。,1.12 FPGA配置器件,EPC器件中的EPC2型号的器件是采用Flash存储工艺制作的具有可多次编程特性的配置器件。,1.13 QuartusII,图1-19 Quartus II设计流程,1.14 IP核,完成了综合的功能块,提供设计的最终阶段产品:掩膜,用HDL等硬件描述语言描述的功能块,但是并不涉及用什么具体电路元件实现这些功能。,1.15 EDA的发展趋势,随着市场需求的增长,集成工艺水平及计算机自动设计技术的不断提高,促使单片系统,或称系统集成芯片成为IC设计的发展方向,随着系统开发对EDA技术的目标器件各种性能要求的提高,ASIC和FPGA将更大程度相互融合。,现在,传统ASIC和FPGA之间的界限正变得模糊。系统级芯片不仅集成RAM和微处理器,也集成FPGA。整个EDA和IC设计工业都朝这个方向发展,这并非是FPGA与ASIC制造商竞争的产物,而对于用户来说,意味着有了更多的选择。,

注意事项

本文(EDA技术及其应用 教学课件 ppt 作者 潘松第1章 概述)为本站会员(w****i)主动上传,金锄头文库仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对上载内容本身不做任何修改或编辑。 若此文所含内容侵犯了您的版权或隐私,请立即阅读金锄头文库的“版权提示”【网址:https://www.jinchutou.com/h-59.html】,按提示上传提交保证函及证明材料,经审查核实后我们立即给予删除!

温馨提示:如果因为网速或其他原因下载失败请重新下载,重复下载不扣分。




关于金锄头网 - 版权申诉 - 免责声明 - 诚邀英才 - 联系我们
手机版 | 川公网安备 51140202000112号 | 经营许可证(蜀ICP备13022795号)
©2008-2016 by Sichuan Goldhoe Inc. All Rights Reserved.