电子文档交易市场
安卓APP | ios版本
电子文档交易市场
安卓APP | ios版本
换一换
首页 金锄头文库 > 资源分类 > DOC文档下载
分享到微信 分享到微博 分享到QQ空间

周祥音乐演奏系统

  • 资源ID:80529984       资源大小:387.80KB        全文页数:25页
  • 资源格式: DOC        下载积分:15金贝
快捷下载 游客一键下载
账号登录下载
微信登录下载
三方登录下载: 微信开放平台登录   支付宝登录   QQ登录  
二维码
微信扫一扫登录
下载资源需要15金贝
邮箱/手机:
温馨提示:
快捷下载时,用户名和密码都是您填写的邮箱或者手机号,方便查询和重复下载(系统自动生成)。
如填写123,账号就是123,密码也是123。
支付方式: 支付宝    微信支付   
验证码:   换一换

 
账号:
密码:
验证码:   换一换
  忘记密码?
    
1、金锄头文库是“C2C”交易模式,即卖家上传的文档直接由买家下载,本站只是中间服务平台,本站所有文档下载所得的收益全部归上传人(卖家)所有,作为网络服务商,若您的权利被侵害请及时联系右侧客服;
2、如你看到网页展示的文档有jinchutou.com水印,是因预览和防盗链等技术需要对部份页面进行转换压缩成图而已,我们并不对上传的文档进行任何编辑或修改,文档下载后都不会有jinchutou.com水印标识,下载后原文更清晰;
3、所有的PPT和DOC文档都被视为“模板”,允许上传人保留章节、目录结构的情况下删减部份的内容;下载前须认真查看,确认无误后再购买;
4、文档大部份都是可以预览的,金锄头文库作为内容存储提供商,无法对各卖家所售文档的真实性、完整性、准确性以及专业性等问题提供审核和保证,请慎重购买;
5、文档的总页数、文档格式和文档大小以系统显示为准(内容中显示的页数不一定正确),网站客服只以系统显示的页数、文件格式、文档大小作为仲裁依据;
6、如果您还有什么不清楚的或需要我们协助,可以点击右侧栏的客服。
下载须知 | 常见问题汇总

周祥音乐演奏系统

车辆与动力工程学院课程设计说明书音乐演奏系统摘 要音乐演奏系统目前得到广泛应用,实现方法也有许多种,但随着FPGA集成度的提高,价格下降,EDA设计工具更新换代,功能日益普及与流行,使运用EDA技术设计该系统的方案的应用越来越多。因为FPGA预装了很多已构造好的参数化库单元LPM器件,通过引入支持LPM的EDA软件工具,设计者可以设计出结构独立而且硅片的使用效率非常高的产品。本次课程设计在EDA开发工具 QuartusII 9.1平台上利用VHDL语言设计4X4矩阵式键盘电路Key_encoder,自动音乐播放控制电路NoteTabs,数控分频器电路Tone_Tab1,二选一数据选择电路Mux21c,音节频率产生电路Speakera,利用数控分频的原理设计实现手动按键输入和自动播放功能的音乐演奏系统电路,并通过定制LPM-ROM存储音乐数据,以 “两只蝴蝶”乐曲为例,将音乐数据存储到LPM-ROM,就达到了以纯硬件的手段来实现乐曲的演奏效果。要想实现其他乐曲的演奏效果,只要修改LPM-ROM所存储的音乐数据,将其换成其他乐曲的音乐数据,再重新定制LPM-ROM,连接到程序中就可以实现其它乐曲的演奏。基于FPGA芯片的设计方法能够使设计者有更多机会充分发挥创造性思维,实现多种复杂数字逻辑系统的功能,因此基于上述同一原理,可设置不同按键对应不同的音符,实现手动按键输入,达到电子琴的功能,提高了设计的灵活性和可扩展性。经过对整体进行模块化分析、编程、综合、仿真及最终下载,完整实现简易音乐器的播放功能。关键字:EDA,VHDL,数控分频,FPGA,音乐演奏系统。目录第一章 绪论1§1.1设计目的和任务要求1§1.1.1设计目的1§1.1.2设计任务要求1§1.2 EDA技术1§1.3 VHDL语言2§1.4 FPGA简介2第二章 总体设计4§2.1 音乐演奏系统组成4§2.1.1音乐演奏系统电路基本原理4§2.1.2 音符频率的得到5§2.1.3自动播放乐曲节奏控制5§2.1.4 乐谱发生器6§2.1.5 4×4 矩阵式键盘6§2.1.6 音乐演奏电路总体设计6§2.2 总体程序设计7§2.2.1 顶层模块VHDL程序7§2.2.2 单元模块设计9§2.2.3 4X4矩阵式键盘Key_encoder VHDL程序设计9§2.2.4 自动乐曲播放模块NoteTabs VHDL程序设计10§2.2.5 定制音符数据的ROM文件11§2.2.6 音乐谱对应分频预置数查表电路Tone_tab1 VHDL程序设计12§2.2.7 Speakera 音乐符数控分频模块 VHDL程序设计14§2.2.8 4位数据宽度的二选一多路选择器Mux21c VHDL程序设计15§2.3 VHDL音乐演奏系统仿真与调试16§2.3.1自动乐曲播放模块NoteTabs的仿真16§2.3.2音乐谱对应分频预置数查表电路Tone_tab1模块的仿真17§2.3.2 Speakera 音乐符数控分频模块的仿真17§2.3.3 4X4矩阵式键盘Key_encoder模块仿真18§2.3.4 4位数据宽度的二选一多路选择器Mux21c模块仿真19第三章 设计结论21第四章 心得体会22参考文献2323第一章 绪论§1.1设计目的和任务要求§1.1.1设计目的进一步巩固理论知识,培养所学理论知识在实际中的应用能力;掌握EDA设计的一般方法;熟悉一种EDA软件,掌握一般EDA系统的调试方法;利用EDA软件设计一个电子技术综合问题,培养VHDL编程、书写技术报告的能力。为以后进行工程实际问题的研究打下设计基础。§1.1.2设计任务要求本次设计要求利用4×4矩阵式键盘作为电子琴按键输入,用FPGA设计一个既可以区分不同音高和音阶进行乐曲的演奏,又可以自动播放乐曲的电子琴。要求掌握乐曲的发声原理,掌握4×4键盘以及音频功放模块的原理及编程控制。下载并测试电路功能,分析芯片资源的占用情况。§1.2 EDA技术EDA技术即电子设计自动化技术,它是以可编程逻辑器件(PLD)为载体,以硬件描述语言(HDL)为主要的描述方式,以EDA软件为主要的开发软件的电子设计过程。它主要采用“自顶向下”的设计方法,设计流程主要包括:设计输入、综合、仿真、适配、下载。EDA技术主要有以下特征:(1)高层综合的理论和方法取得进展,从而将EDA设计层次由RT级提高到了系统级,并推出了相应的系统级综合优化工具,大大缩短了复杂ASIC的设计周期。(2)采用硬件描述语言来描述10万门以上的设计,并形成了VHDL和Verilog-HDL两种标准硬件描述语言。(3)采用平面规划技术对逻辑综合和物理版图设计联合管理,做到在逻辑设计综合早期阶段就考虑到物理设计信息的影响。 (4)为带有嵌入式IP核的ASIC设计提供软、硬件协同设计工具。(5)建立并行设计工具框架结构的集成化设计环境,以适应当今ASIC规模大而复杂、数字与模拟电路并存、硬件与软件设计并存、产品上市速度快等特点。§1.3 VHDL语言VHDL是一种硬件描述语言,它可以对电子电路和系统的行为进行描述,基于这种描述,结合相关的软件工具,可以得到所期望的实际电路与系统。VHDL程序结构包括:实体(Entity)、结构体(Architecture)、配置(Configuration)、包集合(Package)及库(Library)。其中,实体是一个VHDL程序的基本单元,由实体说明和结构体两部分组成:实体说明用于描述设计系统的外部接口信号;结构体用于描述系统的行为、系统数据的流程或系统组织结构形式。配置用语从库中选取所需的单元来组成系统设计的不同规格的不同版本,使被设计系统的功能发生变化。包集合存放各设计模块能共享的数据类型、常数、子程序等。库用于存放已编译的实体、构造体、包集合及配置:一种是用户自己开发的工程软件,另一种是制造商提供的库。关于VHDL最后要说明的是:与常规的顺序执行的计算机程序不同,VHDL从根本上讲是并发执行的。在VHDL中,只有在进程(PROCESS)、函数(FUNCTION)和过程(PROCEDURE)内部的语句才是顺序执行的。§1.4 FPGA简介FPGA是现场可编程门阵列的简称。FPGA 在结构上主要分为三个部分,即可编程逻辑单元,可编程输入/输出单元和可编程连线三个部分。高集成度、高速度和高可靠性是FPGA最明显的特点。于FPGA的集成规模非常大,可利用先进的EDA工具进行电子系统设计和产品开发。由于开发工具的通用性、设计语言的标准化以及设计过程几乎与所用器件的硬件结构没有关系,因而设计开发成功的各类逻辑功能块软件有很好的兼容性和可移植性。 FPGA掉电后将丢失原有的逻辑信息,所以在实用中需要为FPGA芯片配置一个专用ROM。第二章 总体设计§2.1 音乐演奏系统组成 自动播放Notetabs选择器mux21c按键输入key_encoder分频器tone_tab1音节频率产生speakera发出音乐spkout图2-1 音乐演奏系统组成图该主系统由三个模块:Spiel顶层文件,Spkout,分频器,自动播放控制,按键输入音乐,二选一选择器,音阶频率产生电路组成。且Spiel顶层文件还包括4个子文件分别是Key_encoder,NoteTabs,ToneTaba和Speakera,此外,我们还需建立一个名为“music”的LPM_ROM模块和与NoteTabs模块连接。§2.1.1音乐演奏系统电路基本原理硬件电路的发声原理,声音的频谱范围约在几十到几千赫兹,利用程序来控制FPGA芯片某个引脚输出一定频率的矩形波,接上扬声器就能发出相应频率的声音。乐曲中的每一音符对应着一个确定的频率,要想FPGA发出不同音符的音调,实际上只要控制它输出相应音符的频率即可。乐曲都是由一连串的音符组成,因此按照乐曲的乐谱依次输出这些音符所对应的频率,就可以在扬声器上连续地发出各个音符的音调。而要准确地演奏出一首乐曲,仅仅让扬声器能够发生是不够的,还必须准确地控制乐曲的节奏,即乐曲中每个音符的发生频率及其持续时间是乐曲能够连续演奏的两个关键因素。§2.1.2 音符频率的得到多个不同频率的信号可通过对某个基准频率进行分频器获得。由于各个音符的频率多为非整数,而分频系数又不能为小数,故必须将计算机得到的分频系数四舍五入取整。若基准频率过低,则分频系数过小,四舍五入取整后的误差较大。若基准频率过高,虽然可以减少频率的相对误差,但分频结构将变大。实际上应该综合考虑这两个方面的因素,在尽量减少误差的前提下,选取合适的基准频率。本设计中选取1MHz的基准频率。由于现有的高频时钟脉冲信号的频率为12MHz,故需先对其进行12分频,才能获得1MHz的基准频率。对基准频率分频后的输出信号是一些脉宽极窄的尖脉冲信号(占空比=1/分频系数)。为提高输出信号的驱动能力,以使扬声器有足够的功率发音,需要再通过一个分频器将原来的分频器的输出脉冲均衡为对称方波(占空比=1/2),但这时的频率将是原来的1/2。下表就是简谱中音名与频率的对应关系。表2-2 简谱中音名与频率的对应关系音名频率/Hz音名频率/Hz音名频率/Hz低音1261.63中音1523.25高音11046.5低音2293.67低音2587.33高音21174.66低音3329.63低音3659.25高音31318.51低音4349.23低音4698.46高音41396.92低音5391.99低音5783.99高音51567.98低音6440低音6880高音61760低音7493.88低音7987.76高音71975.52§2.1.3自动播放乐曲节奏控制本设计以“两只蝴蝶”为例,最小的节拍为1/4拍,若将1拍的时间定为0.5秒,则只需要提供一个8Hz的时钟频率即可产生1/4拍的时长(0.125秒),对于其它占用时间较长的节拍(必为1/4拍的整数倍)则只需要将该音符连续输出相应的次数即可。计数时钟信号作为输出音符快慢的控制信号,时钟快时输出节拍速度就快,演奏的速度也就快,时钟慢时输出节拍的速度就慢,演奏的速度自然降低§2.1.4 乐谱发生器本设计将乐谱中的音符数据存储在LPM-ROM中,如“两只蝴蝶”乐曲中的第一个音符为“3”,此音在逻辑中停留了4个时钟节拍,即0.5秒的时间,相应地,音符“3”就要在LPM-ROM中连续的四个地址上都存储。当一个8Hz的时钟来时,相应地就从LPM-ROM中输出一个音符数据。§2.1.5 4×4 矩阵式键盘在数字系统中,用二进制代码表示特定信息的过程称为编码。能完成编码的电路称为编码器。十六进制编码键盘是一个4×4的矩阵结构,用x3-x0和y3-y0等八条信号线接受十六个键的信息,X30是行信息输入端,Y30是列信息输入端,没有按下键时,信号线呈高电平,有按下键时,相应信号线呈低电平。例如,当0键按下时,x3x2x1x0=1110,y3y2y1y0=1110,编码器输出k_code 30=0,当1按下时,x3x2x1x0=1110,y3y2y1y0=1101,k_code30=1;依此类推。 §2.1.6 音乐演奏电路总体设计组成乐曲的每个音符的发音频率值及其持续的时间是乐曲能连续演奏所需的两个基本要素,问题是如何来获取

注意事项

本文(周祥音乐演奏系统)为本站会员(自***)主动上传,金锄头文库仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对上载内容本身不做任何修改或编辑。 若此文所含内容侵犯了您的版权或隐私,请立即阅读金锄头文库的“版权提示”【网址:https://www.jinchutou.com/h-59.html】,按提示上传提交保证函及证明材料,经审查核实后我们立即给予删除!

温馨提示:如果因为网速或其他原因下载失败请重新下载,重复下载不扣分。




关于金锄头网 - 版权申诉 - 免责声明 - 诚邀英才 - 联系我们
手机版 | 川公网安备 51140202000112号 | 经营许可证(蜀ICP备13022795号)
©2008-2016 by Sichuan Goldhoe Inc. All Rights Reserved.