电子文档交易市场
安卓APP | ios版本
电子文档交易市场
安卓APP | ios版本
换一换
首页 金锄头文库 > 资源分类 > PDF文档下载
分享到微信 分享到微博 分享到QQ空间

双向移位寄存器实验指导书

  • 资源ID:70333033       资源大小:1.63MB        全文页数:12页
  • 资源格式: PDF        下载积分:10金贝
快捷下载 游客一键下载
账号登录下载
微信登录下载
三方登录下载: 微信开放平台登录   支付宝登录   QQ登录  
二维码
微信扫一扫登录
下载资源需要10金贝
邮箱/手机:
温馨提示:
快捷下载时,用户名和密码都是您填写的邮箱或者手机号,方便查询和重复下载(系统自动生成)。
如填写123,账号就是123,密码也是123。
支付方式: 支付宝    微信支付   
验证码:   换一换

 
账号:
密码:
验证码:   换一换
  忘记密码?
    
1、金锄头文库是“C2C”交易模式,即卖家上传的文档直接由买家下载,本站只是中间服务平台,本站所有文档下载所得的收益全部归上传人(卖家)所有,作为网络服务商,若您的权利被侵害请及时联系右侧客服;
2、如你看到网页展示的文档有jinchutou.com水印,是因预览和防盗链等技术需要对部份页面进行转换压缩成图而已,我们并不对上传的文档进行任何编辑或修改,文档下载后都不会有jinchutou.com水印标识,下载后原文更清晰;
3、所有的PPT和DOC文档都被视为“模板”,允许上传人保留章节、目录结构的情况下删减部份的内容;下载前须认真查看,确认无误后再购买;
4、文档大部份都是可以预览的,金锄头文库作为内容存储提供商,无法对各卖家所售文档的真实性、完整性、准确性以及专业性等问题提供审核和保证,请慎重购买;
5、文档的总页数、文档格式和文档大小以系统显示为准(内容中显示的页数不一定正确),网站客服只以系统显示的页数、文件格式、文档大小作为仲裁依据;
6、如果您还有什么不清楚的或需要我们协助,可以点击右侧栏的客服。
下载须知 | 常见问题汇总

双向移位寄存器实验指导书

长安大学 电子与控制工程学院 电子科学与技术系 实验六实验六 双向移位寄存器双向移位寄存器 一、实验目的: 设计一个双向移位寄存器,理解移位寄存器的工作原理,掌握串入并出端 口控制的描述方法。 二、实验条件: 1. 安装 WindowsXP 系统的 PC 机; 2. 安装 QuartusII6.0 EDA 软件; 3. GW48 型 SOPC 开发平台; 三、实验要求: 通过 VHDL 编程,实现双向移位寄存器,要求有 1 个方向控制端、1 个时钟 脉冲输入、1 个异步清零端、1 个数据输入端以及 8 位的并行数据输出端,具体 接口说明如下图所示。 clk din clr dir dout70 d_reg inst clk:移位寄存器时钟脉冲输入,上升沿有效; din:串行数据输入端; clr:异步清零信号,高电平有效; dir:方向控制端,要求低电平左移,高电平右移; dout70:8 位数据并行输出端; 首先在 QuartusII 上进行功能和时序仿真,之后通过器件及其端口配置下载 程序到 SOPC 开发平台中。在硬件实现中,要求: 1. 用实验平台的按键实现时钟控制(clk)、方向控制(dir)、清零(clr)以及数据输入 (din): 注:本实验采用模式 7 的输入方式,如下表:(模式 7 的 I/O 设置见附录) 端口名 按键名 功能 clk 键 7 时钟控制 din 键 8 数据输入 clr 键 1 异步清零 dir 键 5 方向控制 clr clk din dir 制作人:程鸿亮 - 1 - 长安大学 电子与控制工程学院 电子科学与技术系 2. 用实验平台的 LED 发光阵列的 LED1LED8 显示并行数据的输出。 dout7 dout6 dout5 dout4 dout3 dout2 dout1 dout0 四、实验步骤: 1. 打开 QuartusII 软件,建立一个新的工程: 1) 单击菜单 FileNew Project Wizard 2) 输入工程的路径、工程名以及顶层实体名。 3) 单击 Next按钮,出现以下窗口 由于我们建立的是一个空的项目,所以没有包含已有文件,单击 Next继续。 4) 设置我们的器件信息: 制作人:程鸿亮 - 2 - 长安大学 电子与控制工程学院 电子科学与技术系 5) 单击 Next,指定第三方工具: 这里我们不指定第三方 EDA 工具,单击 Next后结束工程建立。 2. 建立 VHDL 文件: 制作人:程鸿亮 - 3 - 长安大学 电子与控制工程学院 电子科学与技术系 1) 单击 FileNew 菜单项,选择弹出窗口中的 VHDL File 项,单击 OK 按钮以建 立打开空的 VHDL 文件,注意此文件并没有在硬盘中保存。 2) 在编辑窗口中输入 VHDL 源文件并保存,注意实体名、文件名必须和建立工 程时所设定的顶层实体名相同。(参考程序附后) 3) 编译工程 单击 ProcessingStart Compilation 开始编译,编译过程中可能会显示若干出 错消息,参考提示原因对程序进行修改直到编译完全成功为止。 3. 建立矢量波形文件 1) 单击 FileNew 命令,在弹出的对话框中选择 Other Files 页面中的 Vector Waveform File 项,打开矢量波形文件编辑窗口: 2) 双击窗口左边空白区域,打开 Insert Node or Bus 对话框: 制作人:程鸿亮 - 4 - 长安大学 电子与控制工程学院 电子科学与技术系 3) 单击 Node Finder按钮,打开以下对话框,选择 Filter 下拉列表中的 Pins: all,并点击 List 按键以列出所有的端口,通过按钮把这些端口加入到右面的 窗口中,单击 OK 完成端口的添加。 4) 回到波形编辑窗口,对所有输入端口设置输入波形,具体可以通过左边的工 具栏,或通过对信号单击鼠标右键的弹出式菜单中完成操作,最后保存次波形文 件。 4. 进行功能仿真 1) 单击 AssignmentsSettings,在弹出对话框中做以下设置: 制作人:程鸿亮 - 5 - 长安大学 电子与控制工程学院 电子科学与技术系 如图所示,Simulation mode 设置为 Functional,即功能仿真。指定仿真波形文件 后单击 OK 完成设置。 2) 单击 ProcessingGenerate Functional Simulation Netlist 以获得功能仿真网络表。 3) 单击 ProcessingStart Simulation 进入仿真页面: 注:此仿真中不包含延迟信息。根据仿真结果可以修改程序以期达到实验要求。 5. 进行时序仿真 如果功能仿真无误,可进入时序仿真,时序仿真是增加了相关延迟的仿真, 是最接近实际情况的仿真。 1) 单击 AssignmentsSettings,在弹出对话框中做以下设置: 制作人:程鸿亮 - 6 - 长安大学 电子与控制工程学院 电子科学与技术系 如图所示,Simulation mode 设置为 Timing,即时序仿真。指定仿真波形文件后 单击 OK 完成设置。 2) 单击 ProcessingStart Simulation 进入仿真页面: 如果在时序上也没有问题,就可以进入下载工作了。 6. 器件的下载 1) 指定器件引脚: 单击 AssignmentsAssignment Editor,打开引脚分配编辑框: 制作人:程鸿亮 - 7 - 长安大学 电子与控制工程学院 电子科学与技术系 如图所示,为每一个端口指定器件的引脚,在引脚指定过程中需要参照开发 系统所给的 I/O 端口映射表,通过开发平台上每个 I/O 器件附近的 I/O 编号,在 映射表中找到相应的引脚名,填入上图所示的对话框即可。 2) 连接下载线 通过 USB-blaster 下载电缆连接 PC 机和开发平台,如果首次使用下载电缆, 此时操作系统会提示安装驱动程序,此 USB 设备的驱动处于 QuartusII 安装目录 中的driversusb-blaster 中。 3) 单击 ToolProgrammer 打开下载窗口: 制作人:程鸿亮 - 8 - 长安大学 电子与控制工程学院 电子科学与技术系 通过对话框中的 Hardware Setup 按钮,选择下载设备:USB-Blaster。参照上图所 示的选项,点击 Start 完成下载。 五、实验结果 以下是对参考代码的编译下载后的部分图例: 移位输出 说明:以上是当输入为“1” ,并且方向设置为“左”时,两次时钟脉冲控制之后 的数据移位情况。 输 入 数 据 为“1” 方向设置 为“左” 制作人:程鸿亮 - 9 - 长安大学 电子与控制工程学院 电子科学与技术系 说明:输入为“0”时,再经过两个时钟脉冲移位之后的移位情况。 说明:再把方向设置为“右”时,一次时钟脉冲控制之后的数据移位情况。 说明:清零信号有效之后的输出情况。 制作人:程鸿亮 - 10 - 长安大学 电子与控制工程学院 电子科学与技术系 2. 开发系统 I/O 管脚映射表: 引脚名称引脚名称 引脚号引脚号 引脚名称引脚名称 引脚号引脚号 I/O0 AB15 I/O40 C13 I/O1 AB14 I/O41 C7 I/O2 AB13 I/O42 H3 I/O3 AB12 I/O43 U3 I/O4 AA20 I/O44 P3 I/O5 AA19 I/O45 F4 I/O6 AA18 I/O46 C10 I/O7 L19 I/O47 C16 I/O8 J14 I/O48 G20 I/O9 H15 I/O49 R20 I/O10 H14 PIO60 AB16 I/O11 G16 PIO61 AB17 I/O12 F15 PIO62 AB18 I/O13 F14 PIO63 AB19 I/O14 F13 PIO64 AB20 I/O15 L18 PIO65 AB7 I/O16 L17 PIO66 AB8 I/O17 K22 PIO67 AB11 I/O18 K21 PIO68 A10 I/O19 K18 PIO69 A9 I/O20 K17 PIO70 A8 I/O21 J22 PIO71 A7 I/O22 J21 PIO72 A6 I/O23 J20 PIO73 A5 I/O24 J19 PIO74 A4 I/O25 J18 PIO75 A3 I/O26 E11 PIO76 AB9 I/O27 E9 PIO77 AB10 I/O28 E8 PIO78 B5 I/O29 E7 PIO79 Y10 I/O30 D11 I/O31 D9 SPEAKER Y16 I/O32 D8 CLOCK0 L1 I/O33 D7 CLOCK2 M1 I/O34 C9 CLOCK5 M22 I/O35 H7 CLOCK9 B12 I/O36 Y7 I/O37 Y13 I/O38 U20 I/O39 K20 制作人:程鸿亮 - 12 - 长安大学 电子与控制工程学院 电子科学与技术系 3. I/O 模式 7 示意图: CLOCK9 CLOCK5 CLOCK2 CLOCK0 D16D15D14D13D12D11D9 D8 PIO47 D7 PIO46 D6 PIO45 D5 PIO44 D4 PIO43 D3 PIO42 D2 PIO41PIO40 D1 NO.7 实验电路结构图 SPEAKER 扬声器 FPGA/CPLD 目标芯片 12345678 PIO0 PIO2 PIO3 PIO4 PIO5 PIO6 PIO7 单脉冲单脉冲单脉冲 键1键2键3键4键5键6键7键8 PIO47-PIO40 PIO39-PIO36 PIO35-PIO32 PIO31-PIO28 PIO27-PIO24 PIO23-PIO20 PIO19-PIO16 译码器译码器译码器译码器译码器译码器 制作人:程鸿亮 - 13 -

注意事项

本文(双向移位寄存器实验指导书)为本站会员(luoxia****01803)主动上传,金锄头文库仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对上载内容本身不做任何修改或编辑。 若此文所含内容侵犯了您的版权或隐私,请立即阅读金锄头文库的“版权提示”【网址:https://www.jinchutou.com/h-59.html】,按提示上传提交保证函及证明材料,经审查核实后我们立即给予删除!

温馨提示:如果因为网速或其他原因下载失败请重新下载,重复下载不扣分。




关于金锄头网 - 版权申诉 - 免责声明 - 诚邀英才 - 联系我们
手机版 | 川公网安备 51140202000112号 | 经营许可证(蜀ICP备13022795号)
©2008-2016 by Sichuan Goldhoe Inc. All Rights Reserved.