电子文档交易市场
安卓APP | ios版本
电子文档交易市场
安卓APP | ios版本
换一换
首页 金锄头文库 > 资源分类 > PPT文档下载
分享到微信 分享到微博 分享到QQ空间

EDA工具软件的使用

  • 资源ID:51474044       资源大小:2.91MB        全文页数:81页
  • 资源格式: PPT        下载积分:10金贝
快捷下载 游客一键下载
账号登录下载
微信登录下载
三方登录下载: 微信开放平台登录   支付宝登录   QQ登录  
二维码
微信扫一扫登录
下载资源需要10金贝
邮箱/手机:
温馨提示:
快捷下载时,用户名和密码都是您填写的邮箱或者手机号,方便查询和重复下载(系统自动生成)。
如填写123,账号就是123,密码也是123。
支付方式: 支付宝    微信支付   
验证码:   换一换

 
账号:
密码:
验证码:   换一换
  忘记密码?
    
1、金锄头文库是“C2C”交易模式,即卖家上传的文档直接由买家下载,本站只是中间服务平台,本站所有文档下载所得的收益全部归上传人(卖家)所有,作为网络服务商,若您的权利被侵害请及时联系右侧客服;
2、如你看到网页展示的文档有jinchutou.com水印,是因预览和防盗链等技术需要对部份页面进行转换压缩成图而已,我们并不对上传的文档进行任何编辑或修改,文档下载后都不会有jinchutou.com水印标识,下载后原文更清晰;
3、所有的PPT和DOC文档都被视为“模板”,允许上传人保留章节、目录结构的情况下删减部份的内容;下载前须认真查看,确认无误后再购买;
4、文档大部份都是可以预览的,金锄头文库作为内容存储提供商,无法对各卖家所售文档的真实性、完整性、准确性以及专业性等问题提供审核和保证,请慎重购买;
5、文档的总页数、文档格式和文档大小以系统显示为准(内容中显示的页数不一定正确),网站客服只以系统显示的页数、文件格式、文档大小作为仲裁依据;
6、如果您还有什么不清楚的或需要我们协助,可以点击右侧栏的客服。
下载须知 | 常见问题汇总

EDA工具软件的使用

第2章 EDA工具软件的使用方法本章概要:本章以Altera公司的Quartus为主,介绍EDA 工具软件的使用方法,作为EDA设计的基础。通过本章的学 习,读者可初步采用QuartusII软件的原理图输入法,设计 数字电路和系统,掌握用试验开发系统或开发板对设计电路 进行硬件验证的方法。知识要点: (1)EDA技术的原理图输入设计法。 (2)用原理图输入法实现多层次系统电路的设计。 (3)Quartus 的宏功能模块的使用方法。 2.1 Quartus II软件的安装 QuartusII 软件的安装,只要把QuartusII软件光盘 放入计算机的光驱中,安装光盘将自动引导完成Quartus II的安装。软件安装结束之后,还必须在软件中指定 Altera公司的授权文件(License.dat),才能正常使用 Quartus II软件。软件安装在winxp中具体安装硬件驱动程序如下:1. 打开控制面板 | 添加硬件,点“下一步”;2. 选择“是,我已经连接了此硬件”,按向导 进行至下面的界面时,选择“添加新的硬件设备” ,再“下一步”;3. 选择“安装我手动从列表选择的硬件”,然 后“下一步”;4. 在打开的对话框中选择“声音、视频和游戏 控制器”,再“下一步”;5.选择“从磁盘安装”,在弹出的对话框中单击 “浏览”浏览驱动程序所在的目录:QuartusII的安 装目录dirverswin2000,选择“WIN2000.inf”, 单击“确定”。为了方便电路设计,设计者首先应当在计算 机中建立自己的工程目录。例如,将自己的全部 EDA设计文件放在d:myeda文件夹中,而为图 形编辑设计建立d:myedamygdf文件夹,为 VHDL文本编辑设计建立d:myedamyvhdl等。2.2 Quartus II软件的主界面 QuartusII软件为适应广大MAX+PLUS 软件应用工 程人员的需要,提供了Quartus II和与MAX+PLUS 兼容 的两种主界面。 Quartus 主界面窗口MAX+PLUS 主界面窗口工程导航设置引脚锁定编辑器开始编译分析综合延时分析开始仿真编译报告编程器时序收敛编辑timing closure, 意思就是说布线后可能会有时序不满足 的问题,那么就要解决这个问题,解决时序不满足的工作就叫 timing closure。2.3 Quartus II的图形编辑输入法图形编辑输入法也称为原理图输入设计法。用 Quartus II的原理图输入设计法进行数字系统设计时 ,不需要任何硬件描述语言知识,在具有数字逻辑 电路基本知识的基础上,就能可使用Quartus II提供 EDA平台,设计数字电路或系统。 为了方便电路设计,设计者首先应当在计算机 中建立自己的工程目录(如d:myeda)。将自己的 全部EDA设计文件放在文件夹中。在Quartus II平台上,使用图形编辑输入法设计电路 的操作流程包括编辑(设计输入)、编译、仿真和编程下 载等基本过程。用Quartus II图形编辑方式生成的图形文 件默认的扩展名为.bdf。工程文件夹的名称不要使用汉字, 最好也不要使用数字。 注意编辑原理图编译设计文件仿 真选择目标器件引脚锁定再次编译硬件调试编程下载Quartus 原理图输入的基本操作使用Quartus II设计电路系 统之前,需要先建立设计项目( Project)。例如,用图形编辑法 设计8位加法器adder8时,需要先 建立adder8的设计项目。在 Quartus II集成环境下,执行 “File”菜单的“New Project Wizard”命令,弹出如图所示的新 建设计项目对话框的“New Project Wizard: Directory,Name,TOP- Level Entuty page 1 of 5”页面 (新建设计项目对话框共5个页面 )。2.3.1 编辑输入图形设计文件此页面用于登记工程设计文件的地址(文件夹)、设 计项目的名称和顶层文件实体名。在对话框的第一栏中填 入项目所在的文件夹名;第二栏是设计项目名,需要填入 新的设计项目名,第三栏是顶层文件实体名,需要填入顶 层文件实体的名称。设计项目名和顶层文件实体名可以同 名,一般在多层次系统设计中,以与设计项目同名的设计 实体作为顶层文件名。新建项目对话框的第2页面用于增加设计文件 ,包括顶层设计文件和其他底层设计文件。如果顶 层设计文件和其他底层设计文件已经包含在工程文 件夹中,则在此页面中将这些设计文件增加到新建 项目中。新建项目对话框第3页面用于设置编程下载的 目标芯片的类型与型号。在编译设计文件前,应先 选择下载的目标芯片,否则系统将以默认的目标芯 片为基础完成设计文件的编译。目标芯片选择应根 据支持硬件开发和验证的开发板或试验开发系统上 提供的可编程逻辑器件来决定。不同的适配板上的 可编程逻辑不同。新建设计项目对话框的第4页面用于设置第 三方EDA工具软件的使用,一般情况下可以设 置为“不使用”(在第三方工具软件选择框不出 现“”)。新建设计项目对话框的第5页面用于显示 新建设计项目的摘要,用鼠标点击此页面下方 的“Finish”按钮,完成新设计项目的建立。新的项目建立后,在Quartus II集成环境下,执行 “File”菜单的“New”命令,在弹出编辑文件类型对话框中 ,选择 “Block Diagram/Schematic File ”(模块/原理 图文件)方式,进入Quartus II图形编辑方式的窗口界面 。 图2.22 编辑文件类型对话框在原理图编辑窗中的任何一个位置上用双击 鼠标,弹出一个元件选择窗。 图2.23 元件选择框基本逻辑元件库参数可设置的强函数元件库基本逻辑元件库中的元件由此输入所需要的元件名MAX+plus库*在8位加法器adder8的设计中,将电路设计需要的2个4位加法器 74283以及输入、输出元件符号调人图形编辑框中,根据8位加法器设计 的原理图,用鼠标完成电路内部的连接以及与输入、输出元件的连接, 并将相应的输入元件符号名分别更改为“A70”和“B70”,把输出元 件的名称分更改为“SUM70”和“COUT”,如图所示。其中A70和 B70是两个8位加数输入端,SUM70是8位和数输出端,COUT是向 高位进位输出端。电路设计完成后,用“adder8.bdf ”为文件名保存在工 程目录中。 图2.24 8位加法器的原理图塔形显示编程器波形仿真器编译器管脚编辑器翻转 指针文本元器件工具模块正交节点工具正交总线工具正交映射工具橡皮筋工具缩放全屏查找作图工具线条部分选择工具orthogonal bus tool*2.3.2 编译设计图形文件执行Quartus II主窗口“Processing”菜单的“Start Compilation”命令,或者在主窗口上直接用鼠标左键点击“开 始编译”命令按钮,开始对adder8.bdf文件进行编译。编译工 具的编译过程界面如图2.25所示,编译过程包括分析与综合、 适配、编程和时序分析等4个环节。 图2.25 Quartus II编译工具界面2.3.3 仿真设计文件 1. 建立波形文件执行Quartus II主窗口“File”菜单的“New”命令,在弹出 编辑文件类型对话框,选择对话框“Other Files”中的“Vector Waveform File ”方式,进入Quartus II波形编辑方式,弹出 新建波形文件编辑窗口界面。 新建波形文件编辑窗口界面2. 输入信号节点在波形编辑方式下,执行“Edit”菜单下的“Insert Node or Bus” 命令,或在波形文件编辑窗口的“Name”栏中点击鼠标右键,在弹出的 快捷菜单中选择“Insert Node or Bus”命令,弹出插入节点或总线( Insert Node or Bus)对话框,。在“Insert Node or Bus”对话窗 口中首先点击“Node Finder”键,弹出节点发现者(Node Finder)对 话框。 插入信号节点对话框节点发现者对话框在“Node Finder”对话框的“Filter”栏目中,用选择 “Pins:all”项,再点击“Start”按钮,这时在窗口左边的 “Nodes Found:”(节点建立)框中将列出该设计项目的全部 信号节点。若在仿真中需要观察全部信号的波形,则点击窗口 中间的“>>”按钮;若在仿真中只需要观察部分信号的波形, 则首先用鼠标左键将信号名点黑选中,然后点击窗口中间的 “>”按钮,选中的信号即进入到窗口右边的“Selected Nodes:”(被选择的节点)框中。如果需要删除“Selected Nodes:”框中的节点信号,也可以用鼠标将其选中,然后点击 窗口中间的“<”按钮。节点信号选择完毕后,点击“OK”按钮 。3. 设置波形参量Quartus II默认的仿真时间域是1s,如果需要更长时 间观察仿真结果,可执行“Edit”命令菜单中的“End Time”选项,在弹出的如图2.30所示的“End Time”(设置 仿真时间域)对话框中,输入适当的仿真时间域(如10s) ,后点击“OK”按钮完成设置。设置仿真时间域对话框执行操作:Edit | end time4. 编辑输入信号为输入信号编辑测试电平或数据的示意图如图所示。仿 真编辑窗口的左侧各种功能选择按钮的主要功能及使用方法如 下: 为输入信号编辑测试电平或数据示意图放大/缩小工具未初始化(U) 强未知(X) 0电平(强0) 1电平(强1)高阻(Z)弱0(L)弱1(H)计数值任意值 随机值时钟弱未知(W)无关(DC) 反相选择工具 文本工具 编辑工具全屏显示 发现 替换5. 波形文件存盘执行“File”选项的“Save”命令,完成波形文件的存盘。6. 运行仿真器执行“Processing”中的“Start Simulation”命令,对设 计电路进行仿真。 8位加法器的仿真波形2.3.4 编程下载设计文件编程下载是指将设计处理中产生的编程数据文件通过 EDA软件放到具体的可编程逻辑器件中去的过程。对CPLD 器件来说是将JED文件下载(Down Load)到CPLD器件中 去,对FPGA来说是将位流数据BG文件配置到FPGA中去。 编程下载需要可编程逻辑器件的开发板或试验开发系统支持 。1. 基于GW48的编程下载过程GW48的结构与功能见本书的附录A,基于GW48的 8位 加法器设计的编程下载过程,包括选择实验模式、引脚锁定 和编程下载三个部分。 (1)选择实验模式用EDA方式设计的电路的最终目的,是得到满足设计功 能的硬件电路,在将设计电路编程下载到目标芯片之前,需 要进行引脚锁定。引脚锁定就是根据目标芯片的引脚分布规 则,确定设计电路的输入和输出端口与目标芯片的连接关系 ,即把哪一条设计电路的输入/输出端口,连接到目标芯片的 哪一只引脚(Pin)上。 在进行目标芯片的引脚锁定之前,首先需要确定目标芯片 的引脚与GW48的外部设备(如按钮、发光二极管、七段数码 器等)的连接关系,把目标芯片的引脚与实验开发系统的外部 设备连接的方式称为实验模式。GW48上的目标芯片与外部设 备连接的方式有十几种,因此其实验模式也有十几种。对于每 一个具体的设计,需要选择一个合适模式,才能对其进行完整 的实验验证。8位加法器设计的实验验证可以选择实验电路结 构图NO.1提供的实验模式,即实验模式NO.1。(2)引脚锁定引脚锁定前应首先选择目标芯片,本实验选择的目标芯 片为EPF10K10LC84-4。参考附录A“GW48使用说明”中的实 验电路结构图NO.1和表A.5,确定引脚锁定。端口名称 I/O管脚芯片引脚 端口名称 I/O管脚芯片引脚 B0PIO05A4PIO1222 B1PIO16A5PIO1323 B2

注意事项

本文( EDA工具软件的使用)为本站会员(206****923)主动上传,金锄头文库仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对上载内容本身不做任何修改或编辑。 若此文所含内容侵犯了您的版权或隐私,请立即阅读金锄头文库的“版权提示”【网址:https://www.jinchutou.com/h-59.html】,按提示上传提交保证函及证明材料,经审查核实后我们立即给予删除!

温馨提示:如果因为网速或其他原因下载失败请重新下载,重复下载不扣分。




关于金锄头网 - 版权申诉 - 免责声明 - 诚邀英才 - 联系我们
手机版 | 川公网安备 51140202000112号 | 经营许可证(蜀ICP备13022795号)
©2008-2016 by Sichuan Goldhoe Inc. All Rights Reserved.