电子文档交易市场
安卓APP | ios版本
电子文档交易市场
安卓APP | ios版本
换一换
首页 金锄头文库 > 资源分类 > DOC文档下载
分享到微信 分享到微博 分享到QQ空间

电子自动化EDA课程设计

  • 资源ID:473420932       资源大小:201.51KB        全文页数:20页
  • 资源格式: DOC        下载积分:15金贝
快捷下载 游客一键下载
账号登录下载
微信登录下载
三方登录下载: 微信开放平台登录   支付宝登录   QQ登录  
二维码
微信扫一扫登录
下载资源需要15金贝
邮箱/手机:
温馨提示:
快捷下载时,用户名和密码都是您填写的邮箱或者手机号,方便查询和重复下载(系统自动生成)。
如填写123,账号就是123,密码也是123。
支付方式: 支付宝    微信支付   
验证码:   换一换

 
账号:
密码:
验证码:   换一换
  忘记密码?
    
1、金锄头文库是“C2C”交易模式,即卖家上传的文档直接由买家下载,本站只是中间服务平台,本站所有文档下载所得的收益全部归上传人(卖家)所有,作为网络服务商,若您的权利被侵害请及时联系右侧客服;
2、如你看到网页展示的文档有jinchutou.com水印,是因预览和防盗链等技术需要对部份页面进行转换压缩成图而已,我们并不对上传的文档进行任何编辑或修改,文档下载后都不会有jinchutou.com水印标识,下载后原文更清晰;
3、所有的PPT和DOC文档都被视为“模板”,允许上传人保留章节、目录结构的情况下删减部份的内容;下载前须认真查看,确认无误后再购买;
4、文档大部份都是可以预览的,金锄头文库作为内容存储提供商,无法对各卖家所售文档的真实性、完整性、准确性以及专业性等问题提供审核和保证,请慎重购买;
5、文档的总页数、文档格式和文档大小以系统显示为准(内容中显示的页数不一定正确),网站客服只以系统显示的页数、文件格式、文档大小作为仲裁依据;
6、如果您还有什么不清楚的或需要我们协助,可以点击右侧栏的客服。
下载须知 | 常见问题汇总

电子自动化EDA课程设计

能力拓展训练任务书学生姓名: 专业班级: 指导教师: 工作单位: 自动化学院 题 目: 电子密码锁设计 初始条件:计算机、Max+plus、EDA实验箱。要求完成的主要任务: (包括课程设计工作量及其技术要求,以及说明书撰写等具体要求)设计一个电子密码锁,在锁开的状态下输入密码,设置的密码共4位,用数据开关K1K10分别代表数字1,2,9,0,输入的密码用数码管显示,最后输入的密码显示在最右边的数码管上,即每输入一位数,密码在数码管上的显示左移一位。可删除输入的数字,删除的是最后输入的数字,每删除一位,密码在数码管的显示右移一位,并在左边空出的位上补充“0”。用一位输出电平的状态代表锁的开闭状态。提高部分:为保证密码锁主人能打开密码锁,设置一个万能密码,在主人忘记密码时使用。任务安排:(1) 设计任务及要求分析(2) 方案比较及认证说明(3) 系统原理阐述,写出设计方案结构图(4) 软件设计课题需要说明:软件思想,流程图,源程序及程序注释(5) 调试记录及结果分析(6) 总结(7) 参考资料5篇以上(8) 附录:程序清单时间安排:8月31日:设计任务9月1日:收集资料,方案选择9月2日:程序设计9月3日:实验室内调试程序并演示9月4日:报告撰写9月11日:交设计报告主要参考资料:1 谭会生,张昌凡.EDA 技术及应用.西安:西安电子科技大学出版社.2004指导教师签名: 年 月 日系主任(或责任教师)签名: 年 月 日摘 要本次EDA能力拓展训练的开展,是为了将所学的知识更深入的扩展,提高自己的动手实践能力。本次训练的内容是一个简单的电子密码锁,要求完成电子密码锁的基本功能设计,并得出仿真运行结果。本次训练可以训练学生的电路设计能力,提高学生的硬件编程能力以及为学生打下良好的电子设计知识基础使得考研和找工作的同学在以后的工作学习中不至于太吃力。另外,本次训练还锻炼学生严谨的学习态度,提高学生作为一个设计人员所应具有的素质,严谨认真的工作精神。关键词:密码锁 译码 按键输入 仿真运行 目 录1设计内容12设计原理12.1 按键输入环节22.2 密码锁控制环节22.3 译码显示环节32.4 总电路设计43实验程序设计流程53.1 按键输入环节程序53.2 密码锁控制环节程序63.3 译码显示环节程序104实验结果分析12小结与体会14参考文献15电子密码锁程序设计1 设计内容设计一个简单的数字电子密码锁,密码为4 位。用数据开关K1K10分别代表数字1,2,39,0。输入的密码用数码显示,最后输入的密码显示在右边,即每输入一位数,密码在数码管显示左移一位。同时可删除输入的数字,删除的是最后输入的数字,每删除一位,密码在数码管的显示右移一位,并在左边空出的为上补充“0”。用一位输出电平的状态代表所得开闭状态。为保证密码的主人能打开密码锁,设置一个万能密码。2 设计原理实验中采用VHDL语言编程。通过文本编辑方式建立模块,通过原理图方式将生成的图形符号连接,然后再进行仿真。本次设计中主要使用的VHDL语言中的常用语句,大致包括IF语句、IF-ELSE语句、IF-THEN语句、CASE-WHEN语句、进程语句等,基本语句格式分别如下。IF 条件表达式 THEN 语句方块END IF;PROCESS(CLK)BEGIN IF CLKevent AND CLK=1 THEN 语句方块 END IF;END PROCESS;CASE 选择信号X IS WHEN 信号值1=> 语句方块1 WHEN OTHERS=> 语句方块NEND CASE<进程名称>: PROCESS <敏感信号表> 进程说明区:说明用于该进程的常数,变量和子程序。BEGIN变量和信号赋值语句顺序语句END PROCESS <进程名称>为达到密码锁设计要求,完成密码锁的以上功能,可将电子密码锁分为以下几个模块进行设计。2.1 按键输入环节 密码锁的密码设置是通过10个对应按键完成的,故设置10个按键输入端口,分别对应10个按键用来录入密码。每完成一个输入,将按键对应的编号转为数据量送给控制环节以供使用。分配的按键19、0分别对应编号0000000000000001、00000000000000100000001000000000。按键输入电路图如图1所示,其中N9.0为按键输入端口, SOUT3.0为输出端口。按键输入编码由按键输入高低电平完成,每按一个键通过编码显示对应的数字。图1 按键输入环节2.2 密码锁控制环节密码锁控制环节设计要求完成密码的设置、删除、修改,并进行加锁,故输入信号中设计设置信号SET、删除信号BACK、检验信号CHECK、关锁信号CLOSE;输出信号中设计16位数字输出端口、关锁控制信号LOCK。设置初始超级密码为1234。在此电路中每输一位数,密码在数码管上左移一位,每按下一次back,删除最后输入的数字,左边空处补0。当四位密码输入完毕,按下set,设置的密码被存储。其中关锁控制信号,lock=0表示锁未开,lock=1表示锁开,而按下关锁信号close,则锁关闭。在lock=0下从数据开关输入四位开锁数字,按下check,若数据等于设置的密码或万能密码,则lock=1。设计时需要注意的一点是,在重设密码时,一定要保证密码锁出于开的状况,即LOCK无效,否则密码设计即失去意义。密码锁控制电路图如图2所示,其中set为密码设置端口,check为密码检验端口,close为关锁端口,back为删除密码端口,clk时钟输入端口, dn3.为数字输入端口,sout15.0为16位输出端口,lock密码锁状态显示端口。图2 密码锁控制环节2.3 译码显示环节 此环节的作用在于将控制环节输出的16位数字信号译码,转为1,2,39,0的显示方式并使之显示在LED管上,同时完成片选功能,每四个数据一组,显示在相应的数码屏上。设计输入端口有16位数据接收总线端,CLK信号接收端;输出端口有7位LED管显示信号端及片选信号输出端。译码显示电路图如图3所示。图3 译码环节2.4 总电路设计 完成以上3个环节的设计后,用相应端口、数据线、总线将之连接起来,得到密码锁设计总电路图如图4所示。图4 全电路设计图3 实验程序设计流程此部分将各个环节程序设计流程及思路作大致说明如下。3.1 按键输入环节程序开始按键输入输入转数字信号输出结束图5 输入环节流程图此部分程序的设计较明确和简单,要留意的就是为输入的位置码留下足够的数据位。输入环节程序流程图如图5所示。library ieee; -定义实体use ieee.std_logic_1164.all; use ieee.std_logic_unsigned.all;entity shuru isport( n:in std_logic_vector(9 downto 0); -定义按键输入端口sout:out std_logic_vector(3 downto 0); -定义编码输入端口end shuru; architecture ru of shuru is -定义结构体signal temp:std_logic_vector(3 downto 0); beginprocess(n)begincase n is -输入键编码when "0000000001"=>temp<="0001" -位置码改为数字码when "0000000010"=>temp<="0010"when "0000000100"=>temp<="0011"when "0000001000"=>temp<="0100"when "0000010000"=>temp<="0101"when "0000100000"=>temp<="0110"when "0001000000"=>temp<="0111"when "0010000000"=>temp<="1000"when "0100000000"=>temp<="1001"when "1000000000"=>temp<="0000"when others=>temp<="1111"end case;end process;sout<=temp; end ru;3.2 密码锁控制环节程序此部分的程序设计是整个设计的难点,关键是处理好各个功能按键间的逻辑关系,不至使它们之间产生冲突。特别是用于鉴别锁关闭状态的LOCK信号,与CLOSE信号、SET信号及CHECK信号间的相互关系一定要留意,否则就会出现它们相互冲突导致死锁状态;还有就是在之前提及的逻辑上的合理性。密码锁控制环节程序流程图如图6所示。输入数据数码管向左移删除右移Back=1Set=1Close=1校验是否正确是否有判断按键输 入是否有判断按键输 入?check=1设置密码Y关锁,显示为0继续输入N开 始开 始图6 控制环节流程图library ieee; -定义实体 use ieee.std_logic_1164.all;use ieee.std_logic_unsigned.all; entity kongzhi is port( set,check,close,back,clk:in std_logic; din:in std_logic_vector(3 downto 0);- set密码设置信号,check 密码检验信号,close 关锁信号back密码删除信号, clk时钟信号sout:out std_logic_vector(1

注意事项

本文(电子自动化EDA课程设计)为本站会员(壹****1)主动上传,金锄头文库仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对上载内容本身不做任何修改或编辑。 若此文所含内容侵犯了您的版权或隐私,请立即阅读金锄头文库的“版权提示”【网址:https://www.jinchutou.com/h-59.html】,按提示上传提交保证函及证明材料,经审查核实后我们立即给予删除!

温馨提示:如果因为网速或其他原因下载失败请重新下载,重复下载不扣分。




关于金锄头网 - 版权申诉 - 免责声明 - 诚邀英才 - 联系我们
手机版 | 川公网安备 51140202000112号 | 经营许可证(蜀ICP备13022795号)
©2008-2016 by Sichuan Goldhoe Inc. All Rights Reserved.