电子文档交易市场
安卓APP | ios版本
电子文档交易市场
安卓APP | ios版本
换一换
首页 金锄头文库 > 资源分类 > DOC文档下载
分享到微信 分享到微博 分享到QQ空间

数字基带信号码型发生器

  • 资源ID:431795946       资源大小:4.27MB        全文页数:9页
  • 资源格式: DOC        下载积分:15金贝
快捷下载 游客一键下载
账号登录下载
微信登录下载
三方登录下载: 微信开放平台登录   支付宝登录   QQ登录  
二维码
微信扫一扫登录
下载资源需要15金贝
邮箱/手机:
温馨提示:
快捷下载时,用户名和密码都是您填写的邮箱或者手机号,方便查询和重复下载(系统自动生成)。
如填写123,账号就是123,密码也是123。
支付方式: 支付宝    微信支付   
验证码:   换一换

 
账号:
密码:
验证码:   换一换
  忘记密码?
    
1、金锄头文库是“C2C”交易模式,即卖家上传的文档直接由买家下载,本站只是中间服务平台,本站所有文档下载所得的收益全部归上传人(卖家)所有,作为网络服务商,若您的权利被侵害请及时联系右侧客服;
2、如你看到网页展示的文档有jinchutou.com水印,是因预览和防盗链等技术需要对部份页面进行转换压缩成图而已,我们并不对上传的文档进行任何编辑或修改,文档下载后都不会有jinchutou.com水印标识,下载后原文更清晰;
3、所有的PPT和DOC文档都被视为“模板”,允许上传人保留章节、目录结构的情况下删减部份的内容;下载前须认真查看,确认无误后再购买;
4、文档大部份都是可以预览的,金锄头文库作为内容存储提供商,无法对各卖家所售文档的真实性、完整性、准确性以及专业性等问题提供审核和保证,请慎重购买;
5、文档的总页数、文档格式和文档大小以系统显示为准(内容中显示的页数不一定正确),网站客服只以系统显示的页数、文件格式、文档大小作为仲裁依据;
6、如果您还有什么不清楚的或需要我们协助,可以点击右侧栏的客服。
下载须知 | 常见问题汇总

数字基带信号码型发生器

FPGA设计课程设计报告数字基带信号码型发生器专 业: 集 成 电 路班 级: 电 子 0 6 0 4 数字基带信号码型发生器一、实验目的.学习应用VHDL语言和相关码型转换原理实现基带码型转换。.熟悉ISE软件的使用,和Xilinx FPGA开发板的使用。.熟悉FPGA系统开发的流程和步骤。4 .培养软件和硬件联合开发的能力和与小组成员分工合作的习惯。二、ISE简介本实验使用Xilinx公司的FPGASpartan 3E芯片,与芯片相搭配的开发软件是ISE,ISE可以完成FPGA开发的全部流程,即设计输入、仿真、综合、布局布线、生成BIT文件、配置及在线调试等。对大多数FPGA设计者来说,使用ISE即可完成设计任务。ISE集成了很多实用工具,包括HDL编辑器HDL Editor、IP核生成器CORE Generator System、约束编辑器Constraints Editor、静态时序分析工具Static Timing Analyzer、布局规划工具Floorplanner、FPGA编辑工具FPGA Editor、功耗分析工具XPower、配置工具Impact、综合工具XST等。尤其是ISE集成环境Project Navigator、仿真工具ISE Simulator Lite(也可以使用Modelsim)、综合工具XST和配置工具Impact,这些是FPGA初学者先要掌握的。三、实验原理1. 几种典型的基带码型(1) 单极性非归零码(NRZ码):这种传输码的零电平与正电平(或负电平)分别对应于二进制代码中的“0”码与“1”码。(2) 双极性非归零码(SRZ码):这种传输码的正、负电平分别对应于二进制代码中的“1”码与“0”码。(3) 单极性归零码(RZ码):在传送“1”码时发送一个宽度小于码元持续时间的归零脉冲;传送“0”码时不发送脉冲。(4) 差分码(CFM码):差分码利用前后码元电平的相对极性变化来传送信息,又称为相对码。(5) 交替极性码(AMI码):编码规则是,二进制代码中的“1”码由正、负极性交替的脉冲表示,其脉宽等于码元周期的一半;二进制代码中的“0”码由零电平表示。(6) 分相码(FXM码):每个码元用两个连续极性相反的脉冲表示。如“1”码用正、负脉冲表示,“0”码用负、正脉冲表示。(7) 编码信号反转码(CMI码):二进制代码中的“1”码交替地用“11”和“00”表示;“0”码则固定地用“01”表示。2.码型转换原理说明:1.“高位”为正负极性标志位,其中高电平(1)表示负极性,低电平(0)表示正极性; 2.“ ”表示高、低两种电平;3.基带码发生器的原理框图说明:双极性的码形需要数字部分+模拟电路来实现,图中没有包含模拟电路部分,输出信号为数字信号。对双极性的信号如双极性归零码(RZ)、交替极性码(AMI)码码形输出时引入正负极性标志位,而对双极性非归零码(NRZ)和差分码码形输出时由低电平表示负极性。四、实验过程. 建立新工程并设置并选择设备型号和仿真器;. 新建VHDL文件,编写程序代码并检查语法错误;. 新建波形仿真文件,设置clock和输入信号,并进行行为仿真;. 分配FPGA引脚;. 下载配置文件,使用板载的 USB 接口,通过 JTAG将 FPGA 设计直接下载到 Spartan3E 的FPGA中;五、VHDL文件及仿真分析.VHDL文件(基带传输码型变换程序)(1)编程思想由于FPGA开发板上只有4个输入端和8个输出端,可通过3个输入端选择8种模式的16位序列dat_16,第四个输入端作为异步复位端。由于片上时钟频率为5MHz,为了便于观察现象,可令这8种模式的序列的占空比逐渐增加。由于占空比越大,发光二极管越亮。这样就可以在下载后通过改变输入,观察NRZ信号输出端的亮度来确定程序是否正确执行。基带传输码型变换程序的核心是通过16位移位寄存器来移出16位序列dat_16的最高位到锁存器latch_sig,再根据同步时钟产生一个控制占空比的信号latch_cnt,最后再根据这两个信号和码型变换的相关规则来决定码型输出信号的值。(2)全部vhdl程序library IEEE;use IEEE.STD_LOGIC_1164.ALL;use IEEE.STD_LOGIC_ARITH.ALL;use IEEE.STD_LOGIC_UNSIGNED.ALL;entity HS_UJDM5 isPort (clk : in std_logic; -系统时钟 Start : in std_logic; -始能信号dat : in std_logic_vector(2 downto 0); -二进制数据输入端NRZ : out std_logic; -非归零信号输出端DRZ : out std_logic; -单极性归零信号输出端SRZ : out std_logic_vector(1 downto 0);-双极性归零信号AMI : out std_logic_vector(1 downto 0); -交替极性信号输出端CFM : out std_logic; -差分信号输出端CMI : out std_logic; -编码信号反转码信号输出端FXM : out std_logic); -分相码(曼彻斯特码)信号输出端end HS_UJDM5;architecture Behavioral of HS_UJDM5 isSignal dat_16 :std_logic_vector(15 downto 0);BeginProcess(clk)beginif clk'event and clk='1' then If dat="000" then dat_16<="0000000000000000"-八种不同的输入分别对应 NRZ信号不同的占空比Elsif dat="001" then dat_16<="1100000000000000"Elsif dat="010" then dat_16<="1111000000000000"Elsif dat="011" then dat_16<="1111110000000000"Elsif dat="100" then dat_16<="1111111100000000"Elsif dat="101" then dat_16<="1111111111000000"Elsif dat="110" then dat_16<="1111111111110000"Elsif dat="111" then dat_16<="1111111111111100"end if;End if;end process;process(clk,start)variable latch_dat : std_logic_vector(15 downto 0); -十六位二进制信号锁存器variable latch_sig : std_logic; -高位信号锁存器variable latch_cfm : std_logic; -差分码variable latch_cnt : std_logic; -基带码同步信号variable count_fri : integer range 0 to 2; -分频计数器(码宽定义)variable count_mov : integer range 0 to 16; -移位计数器beginif start='0' then latch_cnt:='0' -异步复位latch_cfm:='0' latch_sig:='0' count_fri:=0;count_mov:=16; -异步置位latch_dat:="0000000000000000"elsif rising_edge(clk) then count_fri:=count_fri+1; -分频计数器+1 if count_fri=2 then count_fri:=0; -计数到2if count_mov<16 then count_mov:=count_mov+1; -移位计+1 latch_sig:=latch_dat(15); -二进制码高位移入latch_sig中 latch_dat:=latch_dat(14 downto 0)&'0' -二进制数据向高位移动一位,低位补零 else latch_dat:=dat_16;count_mov:=0; -载入下一轮将发送的数据 latch_cfm:='0'latch_sig:='0'latch_cnt:='0' -寄存器复位end if; if latch_sig='1' then latch_cfm:=not(latch_cfm); -差分码信号寄存器中信号取反end if; end if; if count_fri<1 then latch_cnt:='1' -基带码同步信号的占空比调节 else latch_cnt:='0' end if; end if;NRZ<=latch_sig; -非归零码信号DRZ<=latch_sig and latch_cnt; -单极性归零码信号SRZ(0)<=latch_cnt; -双极性归零码信号SRZ(1)<=not(latch_sig); -SRZ(1)=1表示负极性AMI(0)<=latch_sig and latch_cnt; -极性交替码信号AMI(1)<=not(latch_cfm);

注意事项

本文(数字基带信号码型发生器)为本站会员(桔****)主动上传,金锄头文库仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对上载内容本身不做任何修改或编辑。 若此文所含内容侵犯了您的版权或隐私,请立即阅读金锄头文库的“版权提示”【网址:https://www.jinchutou.com/h-59.html】,按提示上传提交保证函及证明材料,经审查核实后我们立即给予删除!

温馨提示:如果因为网速或其他原因下载失败请重新下载,重复下载不扣分。




关于金锄头网 - 版权申诉 - 免责声明 - 诚邀英才 - 联系我们
手机版 | 川公网安备 51140202000112号 | 经营许可证(蜀ICP备13022795号)
©2008-2016 by Sichuan Goldhoe Inc. All Rights Reserved.