电子文档交易市场
安卓APP | ios版本
电子文档交易市场
安卓APP | ios版本
换一换
首页 金锄头文库 > 资源分类 > DOC文档下载
分享到微信 分享到微博 分享到QQ空间

基于单片机的简单计算器设计与仿真

  • 资源ID:40532883       资源大小:42KB        全文页数:10页
  • 资源格式: DOC        下载积分:10金贝
快捷下载 游客一键下载
账号登录下载
微信登录下载
三方登录下载: 微信开放平台登录   支付宝登录   QQ登录  
二维码
微信扫一扫登录
下载资源需要10金贝
邮箱/手机:
温馨提示:
快捷下载时,用户名和密码都是您填写的邮箱或者手机号,方便查询和重复下载(系统自动生成)。
如填写123,账号就是123,密码也是123。
支付方式: 支付宝    微信支付   
验证码:   换一换

 
账号:
密码:
验证码:   换一换
  忘记密码?
    
1、金锄头文库是“C2C”交易模式,即卖家上传的文档直接由买家下载,本站只是中间服务平台,本站所有文档下载所得的收益全部归上传人(卖家)所有,作为网络服务商,若您的权利被侵害请及时联系右侧客服;
2、如你看到网页展示的文档有jinchutou.com水印,是因预览和防盗链等技术需要对部份页面进行转换压缩成图而已,我们并不对上传的文档进行任何编辑或修改,文档下载后都不会有jinchutou.com水印标识,下载后原文更清晰;
3、所有的PPT和DOC文档都被视为“模板”,允许上传人保留章节、目录结构的情况下删减部份的内容;下载前须认真查看,确认无误后再购买;
4、文档大部份都是可以预览的,金锄头文库作为内容存储提供商,无法对各卖家所售文档的真实性、完整性、准确性以及专业性等问题提供审核和保证,请慎重购买;
5、文档的总页数、文档格式和文档大小以系统显示为准(内容中显示的页数不一定正确),网站客服只以系统显示的页数、文件格式、文档大小作为仲裁依据;
6、如果您还有什么不清楚的或需要我们协助,可以点击右侧栏的客服。
下载须知 | 常见问题汇总

基于单片机的简单计算器设计与仿真

基于单片机的简单计算器设计与仿真基于单片机的简单计算器设计与仿真本文由未来穿贡献doc 文档可能在 WAP 端浏览体验不佳。建议您优先选择 TXT,或下载源文件到本机查看。东北大学秦皇岛分校自动化工程系 东北大学秦皇岛分校自动化工程系 自动化工程 自动控制系统课程设计 自动控制系统课程设计基于单片机的简易计算器设计与仿真专业名称 班级学号 学生姓名 指导教师 设计时间自动化 5080512 张爽 王宏伟2011.6.272010.7.8东北大学秦皇岛分校自动化工程系自动控制系统课程设计任务书 自动控制系统专业: 专业: 自动化 班级: 班级:50805 姓名: 姓名:张爽设计题目: 设计题目:基于单片机的简易计算器设计与仿真 一、设计实验条件: 设计实验条件:地 点:自动化系实验室实验设备:PC 机( 装有 Keil;Protues;Word ;Visio )二、设计任务: 设计任务:本系统选用 AT89C51 单片机为主控机。通过扩展必要的外围接口电路,实现 对计算器的设计,具体设计如下: (1)由于设计的计算器要进行四则运算,为了得到较好的显示效果,经综合分 析后,最后采用 LCD 显示数据和结果。 (2)采用键盘输入方式,键盘包括数字键(09) 、符号键(+、-、×、÷) 、 清除键(onc)和等号键(=) ,故只需要 16 个按键即可,设计中采用集成的计算 键盘。 (3)在执行过程中,开机显示零,等待键入数值,当键入数字,通过 LCD 显示出 来,当键入+、-、*、/运算符,计算器在内部执行数值转换和存储,并等待再次 键入数值,当再键入数值后将显示键入的数值,按等号就会在 LCD 上输出运算结 果。 (4)错误提示:当计算器执行过程中有错误时,会在 LCD 上显示相应的提示,如: 当输入的数值或计算得到的结果大于计算器的表示范围时,计算器会在 LCD 上提 示 overflow;当除数为 0 时,计算器会在 LCD 上提示error。设计要求:分别对键盘输入检测模块;LCD 显示模块;算术运算模块;错误处理 及提示模块进行设计,并用 Visio 画系统方框图,keil 与 protues 仿真 分析其设计结果。三、设计时间与设计时间安排: 设计时间与设计时间安排:1、设计时间:6 月 27 日7 月 8 日 、设计时间: 2、设计时间安排: 、设计时间安排: 熟悉课题、收集资料: 具体设计(含上机实验) : 编写课程设计说明书: 答辩: 3 天(6 月 27 日 6 月 29 日) 6 天(6 月 30 日 7 月 5 日) 2 天(7 月 6 日 7 月 7 日) 1 天(7 月 8 日)四、设计说明书的内容: 设计说明书的内容:1、前言:(自己写,组员之间不能相同,写完后将红字删除,排版时注意对齐) 、前言: 自己写 组员之间不能相同,写完后将红字删除,排版时注意对齐) 自己写, 红字删除本设计是基于 51 系列单片机来进行的数字计算器系统设计,可以完成计算 器的键盘输入,进行加、减、乘、除基本四则运算,并在 LCD 上显示相应的结果; 设计电路采用 AT89C51 单片机为主要控制电路,利用 MM74C922 作为计算器 4*4 键盘的扫描 IC 读取键盘上的输入;显示采用字符 LCD 静态显示;软件方面使用 C 语言编程,并用 PROTUES 仿真。2、设计题目与设计任务: 、设计题目与设计任务: 现实生活中人们熟知的计算器,其功能主要如下:(1)键盘输入;(2)数 值显示;(3)加、减、乘、除四则运算;(4)对错误的控制及提示。针对上述功能,计算器软件程序要完成以下模块的设计:(1)键盘输入检测 模块;(2)LCD 显示模块;(3)算术运算模块;(4)错误处理及提示模块。 3、主体设计部分: 、主体设计部分:、系统模块图 (1) 系统模块图: ) 系统模块图: 、单片机输入模块运算模块显示模块(2) 、算术运算程序流程图: ) 、算术运算程序流程图: 算术运算程序流程图(3) 、系统总流程图: ) 、系统总流程图: 系统总流程图(4) 、硬件设计: ) 、硬件设计: 硬件设计 ) 、总体硬件设计 总体硬件设计: (一) 、总体硬件设计: 本设计选用 AT89C51 单片机为主控单元; 显示部分:采用 LCD 静态显示; 按键部分:采用 4*4 键盘;用 MM74C922 为 4*4 键盘扫描 IC,读取输入的键值。总体设计效果如下图:(二) 、单片机接口电路说明: 1、手动上电复位电路: 手动上电复位电路:当 VCC 上电时,C 充电,在 10K 电阻上出现电压,使得单片机复位;几个毫 秒后,C 充满,10K 电阻上电流降为 0,电压也为 0,使得单片机进入工作状态。 工作期间,按下 S,C 放电。S 松手,C 又充电,在 10K 电阻上出现电压,使得单 片机复位。几个毫秒后,单片机进入工作状态。 2、内部时钟模式电路: 内部时钟模式电路:当单片机工作于内部时钟模式的时候, 只需在 XTAL1 和 XTAL2引脚连接一个晶 体振荡器或者陶瓷振荡器,并接两个电容后接地即可,在使用时对于电容的选择 有一定的要求: 当外接晶体振荡器的时候,电容值一般选择 C1=C2=30+10pF 或 30-10pF; 当外接陶瓷振荡器的时候,电容值一般选择 C1=C2=40+10pF 或 40-10pF; 3、AT89C51 单片机引脚介绍: AT89C51 单片机引脚介绍: 单片机引脚介绍 VCC: 供电电压。 VCC GND:接地。 GND P0 口 P0 口 :P0 口为一个 8 位漏级开路双向 I/O 口,每脚可吸收 8TTL 门电流。当 P0 口的管脚第一次写 1 时,被定义为高阻输入。P0 能够用于外部程序数 据存储器,它可以被定义为数据/地址的第八位。在FIASH 编程时,P0 口作为原码输入口,当 FIASH 进行校验时,P0 输出原码,此时 P0 外部 必须被拉高。 P1 口 P1 口 :P1 口是一个内部提供上拉电阻的 8 位双向 I/O 口,P1 口缓冲器能接收输 出 4TTL门电流。P1 口管脚写入 1 后,被内部上拉为高,可用作输入, P1口被外部下拉为低电平时, 将输出电流, 这是由于内部上拉的缘故。 在 FLASH 编程和校验时,P1 口作为第八位地址接收。 P2 口 P2 口 :P2 口为一个内部上拉电阻的 8 位双向 I/O 口,P2 口缓冲器可接收,输出4 个 TTL 门电流,当 P2 口被写“1”时,其管脚被内部上拉电阻拉高,且 作为输入。并因此作为输入时,P2 口的管脚被外部拉低,将输出电流。 这是由于内部上拉的缘故。P2 口当用于外部程序存储器或 16 位地址外 部数据存储器进行存取时,P2 口输出地址的高八位。在给出地址“1” 时,它利用内部上拉优势,当对外部八位地址数据存储器进行读写时, P2 口输出其特殊功能寄存器的内容。 P2 口在 FLASH 编程和校验时接收高 八位地址信号和控制信号。 P3 口 P3 口 :P3 口管脚是 8 个带内部上拉电阻的双向 I/O 口,可接收输出 4 个 TTL 门电 流。当 P3 口写入“1”后,它们被内部上拉为高电平,并用作输入。 作为输入,由于外部下拉为低电平,P3口将输出电流(ILL)这是由 于上拉的缘故。 P3 口也可作为AT89C51 的一些特殊功能口: P3.0 RXD(串行输入口) P3.1 TXD(串行输出口) P3.2 /INT0(外 部中断 0) P3.3 /INT1(外部中断 1) P3.4 T0(记时器 0 外部输入) P3.5 T1(记 时器 1 外部输入) P3.6 /WR(外部数据存储器写选通) P3.7 /RD(外部数据存储器读 选通) P3 口同时为闪烁编程和编程校验接收一些控制信号。 RST:复位输入。当振荡器复位器件时,要保持 RST 脚两个机器周期的高电平 RST 时间。 ALE/PROG:当访问外部存储器时,地址锁存允许的输出电平用于锁存地址的 ALE/PROG 地位字节。在FLASH 编程期间,此引脚用于输入编程脉冲。在平时, ALE 端 以 不 变 的 频 率 周 期 输 出 正 脉 冲 信 号 , 此 频 率 为 振 荡 器 频 率 的 1/6。因此它可用作对外部输出的脉冲或用于定时目的。然而要注意 的是:每当用作外部数据存储器时,将跳过一个ALE 脉冲。如想禁止 ALE 的输出可在 SFR8EH 地址上置 0。此时, ALE 只有在执行 MOVX,MOVC 指令是 ALE 才起作用。另外,该引脚被略微拉高。如果微处理器在外 部执行状态 ALE 禁止,置位无效。 /PSEN:外部程序存储器的选通信号。在由外部程序存储器取指期间,每个 /PSEN机器周期两次/PSEN 有效。但在访问外部数据存储器时,这两次有效 的/PSEN 信号将不出现。 /EA/VPP: 则在此期间外部程序存储器 (0000H-FFFFH) , /EA/VPP 当/EA 保持低电平时, 不管是否有内部程序存储器。注意加密方式 1 时,/EA 将内部锁定为 RESET;当/EA 端保持高电平时,此间内部程序存储器。在 FLASH 编程 期间,此引脚也用于施加 12V 编程电源(VPP) 。 XTAL1:反向振荡放大器的输入及内部时钟工作电路的输入。 XTAL1 XTAL2:来自反向振荡器的输出。 XTAL2 4、单片机与复位、时钟电路连接电路图: 单片机与复位、时钟电路连接电路图:、键盘接口电路: (三) 键盘接口电路: 、键盘接口电路计算器输入数字和其他功能按键要用到很多按键,如果采用独立按键的方 式,在这种情况下,编程会很简单,但是会占用大量的I/O 口资源,因此在很多 情况下都不采用这种方式,而是采用矩阵键盘的方案。矩阵键盘采用四条 I/O 线作为行线,四条 I/O 线作为列线组成键盘,在行线和列线的每个交叉点上设置一 个按键。这样键盘上按键的个数就为 4×4 个。这种行列式键盘结构能有效地提高 单片机系统中 I/O 口的利用率。矩阵键盘的工作原理: 计算器的键盘布局如图 1 所示:一般有 16个键组成,在单片机中正好可以用 一个 P 口实现 16 个按键功能,这种形式在单片机系统中也最常用。图 1矩阵键盘布局图矩阵键盘内部电路图如图 2 所示:为了进一步节省单片机 I/O 口资源,我们在设计中使用了 MM74C922 芯片。 MM74C922 是一款 4*4 键盘扫描 IC, 它可检测到与之相连的 4*4 键盘的按键输入, 并通过数据输出口将按键相应的编码输出。其引脚图如图 3 所示:图 3MM94C22 硬件图MM74C922 引脚说明: (1) Y1Y4(脚 1脚 4):4*4 键盘第一列至第四。 (2) X1X4(脚 11、10、8、7):4*4 键盘第一行至第四行。 (3) DOA DOD(Dataout AD,脚 1417):按键之 BCD 码输出,其中 DOA 为 LSB, DOD 为 MSB。 (4) VCC(脚 18):电源脚,+3V+15V。ab126 计算公式大全 (5) GND(脚 9):接地管脚。新艺图库 (6) OSC(Oscillator,脚 5):键盘扫描电路之频率所需外加电容的连引脚。 (7) KBM(Keyboard Mask,脚 6):内部消除开关弹跳电路所外加电容的引脚。 (8) OE(Output Enable,脚 13):芯片使能脚,接低电位可使芯片使能。 (9) DA(Data Available,脚 12):数据有效输出脚。任一按键按下时,此脚 位会输出高电位,按键释放后此脚又会恢复为低电位。 MM74C922 对各按键的响应如下表所示:如下图 4 所示,在本设计中,计算器输入键盘的 4 条行线、列线分别连接到 MM74C922 的 X1-X4、Y1-Y4 引脚,MM74C922 的数据输出口与单片机的 P2 口相连, MM74

注意事项

本文(基于单片机的简单计算器设计与仿真)为本站会员(kms****20)主动上传,金锄头文库仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对上载内容本身不做任何修改或编辑。 若此文所含内容侵犯了您的版权或隐私,请立即阅读金锄头文库的“版权提示”【网址:https://www.jinchutou.com/h-59.html】,按提示上传提交保证函及证明材料,经审查核实后我们立即给予删除!

温馨提示:如果因为网速或其他原因下载失败请重新下载,重复下载不扣分。




关于金锄头网 - 版权申诉 - 免责声明 - 诚邀英才 - 联系我们
手机版 | 川公网安备 51140202000112号 | 经营许可证(蜀ICP备13022795号)
©2008-2016 by Sichuan Goldhoe Inc. All Rights Reserved.