电子文档交易市场
安卓APP | ios版本
电子文档交易市场
安卓APP | ios版本
换一换
首页 金锄头文库 > 资源分类 > PPTX文档下载
分享到微信 分享到微博 分享到QQ空间

寄存器描述及其VHDL语言现象

  • 资源ID:330891597       资源大小:2.79MB        全文页数:19页
  • 资源格式: PPTX        下载积分:0金贝
快捷下载 游客一键下载
账号登录下载
微信登录下载
三方登录下载: 微信开放平台登录   支付宝登录   QQ登录  
二维码
微信扫一扫登录
下载资源需要0金贝
邮箱/手机:
温馨提示:
快捷下载时,用户名和密码都是您填写的邮箱或者手机号,方便查询和重复下载(系统自动生成)。
如填写123,账号就是123,密码也是123。
验证码:   换一换

 
账号:
密码:
验证码:   换一换
  忘记密码?
    
1、金锄头文库是“C2C”交易模式,即卖家上传的文档直接由买家下载,本站只是中间服务平台,本站所有文档下载所得的收益全部归上传人(卖家)所有,作为网络服务商,若您的权利被侵害请及时联系右侧客服;
2、如你看到网页展示的文档有jinchutou.com水印,是因预览和防盗链等技术需要对部份页面进行转换压缩成图而已,我们并不对上传的文档进行任何编辑或修改,文档下载后都不会有jinchutou.com水印标识,下载后原文更清晰;
3、所有的PPT和DOC文档都被视为“模板”,允许上传人保留章节、目录结构的情况下删减部份的内容;下载前须认真查看,确认无误后再购买;
4、文档大部份都是可以预览的,金锄头文库作为内容存储提供商,无法对各卖家所售文档的真实性、完整性、准确性以及专业性等问题提供审核和保证,请慎重购买;
5、文档的总页数、文档格式和文档大小以系统显示为准(内容中显示的页数不一定正确),网站客服只以系统显示的页数、文件格式、文档大小作为仲裁依据;
6、如果您还有什么不清楚的或需要我们协助,可以点击右侧栏的客服。
下载须知 | 常见问题汇总

寄存器描述及其VHDL语言现象

寄存器描述及其寄存器描述及其寄存器描述及其寄存器描述及其VHDLVHDLVHDLVHDL语言现象语言现象语言现象语言现象EDA技术实用教程 寄存器描述及其VHDL语言现象 1.1 D触发器的VHDL描述【例4-7】LIBRARY IEEE;USE IEEE.STD_LOGIC_1164.ALL;ENTITY DFF1 IS PORT(CLK:IN STD_LOGIC;D:IN STD_LOGIC;Q:OUT STD_LOGIC);END;图4-4 D触发器 寄存器描述及其VHDL语言现象 1.1 D触发器的VHDL描述【例4-7】ARCHITECTURE bhv OF DFF1 IS SIGNAL Q1:STD_LOGIC;-类似于在芯片内部定义一个数据的暂存节点 BEGIN PROCESS(CLK)BEGIN IF CLKEVENT AND CLK=1 THEN Q1=D;END IF;Q=Q1;-将内部的暂存数据向端口输出 END PROCESS;END bhv;寄存器描述及其VHDL语言现象 1.2 D触发器VHDL描述的语言现象说明 1.标准逻辑位数据类型STD_LOGIC BIT数据类型定义:TYPE BIT IS(0,1);STD_LOGIC数据类型定义:TYPE STD_LOGIC IS(U,X,0,1,Z,W,L,H,-);寄存器描述及其VHDL语言现象 1.2 D触发器VHDL描述的语言现象说明 2.设计库和标准程序包 使用库和程序包的一般定义表式是:LIBRARY ;USE .ALL;1.2 D触发器VHDL描述的语言现象说明 3.SIGNAL信号定义和数据对象【例4-8】ARCHITECTURE bhv OF DFF1 IS BEGIN PROCESS(CLK)BEGIN IF CLKEVENT AND CLK=1 THEN Q=D;END IF;END PROCESS;END;寄存器描述及其VHDL语言现象 1.2 D触发器VHDL描述的语言现象说明 4.上升沿检测表式和信号属性函数EVENT 5.不完整条件语句与时序电路 关键词EVENT是信号属性,VHDL通过以下表式来测定某信号的跳变边沿:EVENT【例4-9】ENTITY COMP_BAD IS PORT(a1 :IN BIT;b1 :IN BIT;q1 :OUT BIT );END;ARCHITECTURE one OF COMP_BAD IS BEGIN PROCESS(a1,b1)BEGIN IF a1 b1 THEN q1=1;ELSIF a1 b1 THEN q1 b1 THEN q1=1;ELSE q1=0;END IF;END PROCESS;END;图4-6 例4-10的电路图 寄存器描述及其VHDL语言现象 1.3 实现时序电路的VHDL不同表达方式【例4-11】.PROCESS(CLK)BEGINIF CLKEVENT AND(CLK=1)AND(CLKLAST_VALUE=0)THEN Q=D;-确保CLK的变化是一次上升沿的跳变 END IF;END PROCESS;寄存器描述及其VHDL语言现象 1.3 实现时序电路的VHDL不同表达方式【例4-12】.PROCESS(CLK)BEGINIF CLK=1 AND CLKLAST_VALUE=0 -同例4-11 THEN Q=D;END IF;END PROCESS;【例4-13】LIBRARY IEEE;USE IEEE.STD_LOGIC_1164.ALL;ENTITY DFF3 IS PORT(CLK:IN STD_LOGIC;D :IN STD_LOGIC;Q:OUT STD_LOGIC);END;ARCHITECTURE bhv OF DFF3 IS SIGNAL Q1:STD_LOGIC;BEGIN PROCESS(CLK)BEGIN IF rising_edge(CLK)-必须打开STD_LOGIC_1164程序包 THEN Q1=D;END IF;Q=Q1;END PROCESS;END;寄存器描述及其VHDL语言现象 1.3 实现时序电路的VHDL不同表达方式【例4-14】.PROCESS BEGIN wait until CLK=1 ;-利用wait语句 Q=D;END PROCESS;寄存器描述及其VHDL语言现象 1.3 实现时序电路的VHDL不同表达方式【例4-15】.PROCESS(CLK)BEGIN IF CLK=1 THEN Q=D;-利用进程的启动特性产生对CLK的边沿检测 END IF;END PROCESS;寄存器描述及其VHDL语言现象 1.3 实现时序电路的VHDL不同表达方式【例4-16】.PROCESS(CLK,D)BEGIN IF CLK=1 -电平触发型寄存器 THEN Q=D;END IF;END PROCESS;1.3 实现时序电路的VHDL不同表达方式 图4-7 边沿型触发器时序波形 图4-8 电平触发型寄存器的时序波形 寄存器描述及其VHDL语言现象 1.4 异步时序电路设计 图4-9 例4-17综合后的电路【例4-17】.ARCHITECTURE bhv OF MULTI_DFF IS SIGNAL Q1,Q2:STD_LOGIC;BEGINPRO1:PROCESS(CLK)BEGIN IF CLKEVENT AND CLK=1 THEN Q1=NOT(Q2 OR A);END IF;END PROCESS;PRO2:PROCESS(Q1)BEGIN IF Q1EVENT AND Q1=1 THEN Q2=D;END IF;QQ=Q2;END PROCESS;谢谢观看!谢谢观看!谢谢观看!谢谢观看!EDA技术实用教程

注意事项

本文(寄存器描述及其VHDL语言现象)为本站会员(早晚)主动上传,金锄头文库仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对上载内容本身不做任何修改或编辑。 若此文所含内容侵犯了您的版权或隐私,请立即阅读金锄头文库的“版权提示”【网址:https://www.jinchutou.com/h-59.html】,按提示上传提交保证函及证明材料,经审查核实后我们立即给予删除!

温馨提示:如果因为网速或其他原因下载失败请重新下载,重复下载不扣分。

分享当前资源【寄存器描述及其VHDL语言现象】到朋友圈,您即可以免费下载此资源!
微信扫一扫分享到朋友圈
二维码
操作提示:任选上面一个二维码,打开微信,点击“发现”使用“扫一扫”,即可将选择的网页分享到朋友圈
您可能感兴趣的------------------------------------------------------------------------------------------------------



关于金锄头网 - 版权申诉 - 免责声明 - 诚邀英才 - 联系我们
手机版 | 川公网安备 51140202000112号 | 经营许可证(蜀ICP备13022795号)
©2008-2016 by Sichuan Goldhoe Inc. All Rights Reserved.