电子文档交易市场
安卓APP | ios版本
电子文档交易市场
安卓APP | ios版本
换一换
首页 金锄头文库 > 资源分类 > PPTX文档下载
分享到微信 分享到微博 分享到QQ空间

VHDL 操作符

  • 资源ID:330245766       资源大小:2.79MB        全文页数:16页
  • 资源格式: PPTX        下载积分:0金贝
快捷下载 游客一键下载
账号登录下载
微信登录下载
三方登录下载: 微信开放平台登录   支付宝登录   QQ登录  
二维码
微信扫一扫登录
下载资源需要0金贝
邮箱/手机:
温馨提示:
快捷下载时,用户名和密码都是您填写的邮箱或者手机号,方便查询和重复下载(系统自动生成)。
如填写123,账号就是123,密码也是123。
验证码:   换一换

 
账号:
密码:
验证码:   换一换
  忘记密码?
    
1、金锄头文库是“C2C”交易模式,即卖家上传的文档直接由买家下载,本站只是中间服务平台,本站所有文档下载所得的收益全部归上传人(卖家)所有,作为网络服务商,若您的权利被侵害请及时联系右侧客服;
2、如你看到网页展示的文档有jinchutou.com水印,是因预览和防盗链等技术需要对部份页面进行转换压缩成图而已,我们并不对上传的文档进行任何编辑或修改,文档下载后都不会有jinchutou.com水印标识,下载后原文更清晰;
3、所有的PPT和DOC文档都被视为“模板”,允许上传人保留章节、目录结构的情况下删减部份的内容;下载前须认真查看,确认无误后再购买;
4、文档大部份都是可以预览的,金锄头文库作为内容存储提供商,无法对各卖家所售文档的真实性、完整性、准确性以及专业性等问题提供审核和保证,请慎重购买;
5、文档的总页数、文档格式和文档大小以系统显示为准(内容中显示的页数不一定正确),网站客服只以系统显示的页数、文件格式、文档大小作为仲裁依据;
6、如果您还有什么不清楚的或需要我们协助,可以点击右侧栏的客服。
下载须知 | 常见问题汇总

VHDL 操作符

VHDLVHDLVHDLVHDL操作符操作符操作符操作符EDA技术实用教程1.1逻辑操作符逻辑操作符 VHDLVHDL操作符操作符 逻辑操作符逻辑操作符 (LogicalOperator)关系操作符关系操作符 (RelationalOperator)算术操作符算术操作符 (ArithmeticOperator)符号操作符符号操作符 (SignOperator)重载操作符重载操作符 (OverloadingOperator)严格遵循在基本操作符间操作数是同数据类型的规则。严格遵循在基本操作符间操作数是同数据类型的规则。严格遵循操作数的数据类型必须与操作符所要求的数据类严格遵循操作数的数据类型必须与操作符所要求的数据类型完全一致。型完全一致。表表8-1VHDL操作符列表操作符列表类类 型型操作符操作符功功 能能操作数数据类型操作数数据类型算术操作符算术操作符+加加 整数整数 减减 整数整数&并置并置 一维数组一维数组*乘乘 整数和实数整数和实数(包括浮点数包括浮点数)/除除 整数和实数整数和实数(包括浮点数包括浮点数)MOD取模取模 整数整数REM取余取余 整数整数SLL逻辑左移逻辑左移 BIT或布尔型一维数组或布尔型一维数组SRL逻辑右移逻辑右移 BIT或布尔型一维数组或布尔型一维数组SLA算术左移算术左移 BIT或布尔型一维数组或布尔型一维数组SRA算术右移算术右移BIT或布尔型一维数组或布尔型一维数组ROL逻辑循环左移逻辑循环左移BIT或布尔型一维数组或布尔型一维数组ROR逻辑循环右移逻辑循环右移BIT或布尔型一维数组或布尔型一维数组*乘方乘方整数整数ABS取绝对值取绝对值整数整数表表8-1VHDL操作符列表操作符列表关系操作符关系操作符=等于等于任何数据类型任何数据类型/=/=不等于不等于任何数据类型任何数据类型 大于大于枚举与整数类型,及对应的一维数组枚举与整数类型,及对应的一维数组=大于等于大于等于枚举与整数类型,及对应的一维数组枚举与整数类型,及对应的一维数组逻辑操作符逻辑操作符AND与与BIT,BOOLEAN,STD_LOGICOR或或BIT,BOOLEAN,STD_LOGICNAND与非与非BIT,BOOLEAN,STD_LOGICNOR或非或非BIT,BOOLEAN,STD_LOGICXOR异或异或BIT,BOOLEAN,STD_LOGICXNOR异或非异或非BIT,BOOLEAN,STD_LOGICNOT非非BIT,BOOLEAN,STD_LOGIC符号操作符符号操作符+正正整数整数负负整数整数1.1逻辑操作符逻辑操作符 VHDLVHDL操作符操作符 运算符运算符优先级优先级NOT,ABS,*最高优先级最高优先级*,/,MOD,REM+(正号正号),(负号负号)+,&SLL,SLA,SRL,SRA,ROL,ROR=,/=,=AND,OR,NAND,NOR,XOR,XNOR最低优先级最低优先级表表8-2VHDL操作符优先级操作符优先级1.1逻辑操作符逻辑操作符 VHDLVHDL操作符操作符 【例【例8-16】SIGNALa,b,c:STD_LOGIC_VECTOR(3DOWNTO0);SIGNALd,e,f,g:STD_LOGIC_VECTOR(1DOWNTO0);SIGNALh,I,j,k:STD_LOGIC;SIGNALl,m,n,o,p:BOOLEAN;.a=bANDc;-b、c相与后向相与后向a赋值,赋值,a、b、c的数据类型同属的数据类型同属4位长的位矢量位长的位矢量d=eORfORg;-两个操作符两个操作符OR相同,不需括号相同,不需括号h=(iNANDj)NANDk;-NAND不属上述三种算符中的一种,必须加括号不属上述三种算符中的一种,必须加括号l=(mXORn)AND(oXORp);-操作符不同,必须加括号操作符不同,必须加括号h=iANDjANDk;-两个操作符都是两个操作符都是AND,不必加括号不必加括号h=iANDjORk;-两个操作符不同,未加括号,表达错误两个操作符不同,未加括号,表达错误a=bANDe;-操作数操作数b与与e的位矢长度不一致,表达错误的位矢长度不一致,表达错误h=iORl;-i的数据类型是位的数据类型是位STD_LOGIC,而而l的数据类型是的数据类型是.-布尔量布尔量BOOLEAN,因而不能相互作用,表达错误。因而不能相互作用,表达错误。1.2关系操作符关系操作符 VHDLVHDL操作符操作符 【例【例8-17】ENTITY relational_ops_1 IS PORT(a,b:IN BITVECTOR(0 TO 3);m:OUT BOOLEAN);END relational_ops_1;ARCHITECTURE example OF relational_ops_1 IS BEGIN output=(a=b);END example;1.2关系操作符关系操作符 VHDLVHDL操作符操作符 【例【例8-18】ENTITY relational_ops_2 IS PORT(a,b:IN INTEGER RANGE 0 TO 3;m:OUT BOOLEAN);END relational_ops_2;ARCHITECTURE example OF relational_ops_2 IS BEGIN output=b);ENDexample;1.3算术操作符算术操作符 VHDLVHDL操作符操作符 类类别别算术操作符分类算术操作符分类1求和操作符求和操作符(Addingoperators)+(加加),(减减),(并置并置)2求求 积积 操操 作作 符符(Multiplyingoperators)*,/,MOD,REM3符号操作符符号操作符(Signoperators)+(正正),(负负)4混混 合合 操操 作作 符符(Miscellaneousoperators)*,ABS5移位操作符移位操作符(Shiftoperators)SLL,SRL,SLA,SRA,ROL,ROR表表8-3算术操作符分类表算术操作符分类表1.3算术操作符算术操作符 VHDLVHDL操作符操作符 1.求和操作符求和操作符【例【例8-19】VARIABLEa,b,c,d,e,f:INTEGERRANGE0TO255;.a:=b+c;d:=ef;【例例8-20】PROCEDUREadding_e(a:ININTEGER;b:INOUTINTEGER)IS.b:=a+b;1.求和操作符求和操作符【例【例8-21】PACKAGE example_arithmetic IS TYPE small_INt IS RANGE 0 TO 7;END example_arithmetic;USE WORK.example_arithmetic.ALL;ENTITY arithmetic IS PORT(a,b:IN SMALL_INT;c:OUT SMALL_INT);END arithmetic;ARCHITECTURE example OF arithmetic IS BEGIN c=a+b;ENDexample;1.3算术操作符算术操作符 VHDLVHDL操作符操作符 2.求积操作符求积操作符*(乘)、/(除)、MOD(取模)、RED(取余)3.符号操作符符号操作符“+”、“”1.3算术操作符算术操作符 VHDLVHDL操作符操作符 4.混合操作符混合操作符“*”、“ABS”【例【例8-22】SIGNAL a,b:INTEGER RANGE 8 to 7;SIGNAL c:INTEGER RANGE 0 to 15;SIGNAL d:INTEGER RANGE 0 to 3;a=ABS(b);c=2*d;1.3算术操作符算术操作符 VHDLVHDL操作符操作符 5.移位操作符移位操作符SLL、SRL、SLA、SRA、ROL、ROR移位操作符的语句格式是:移位操作符的语句格式是:标识符标识符移位操作符移位操作符移位位数移位位数;1.3算术操作符算术操作符5.移位操作符移位操作符【例【例8-23】LIBRARYIEEE;USEIEEE.STD_LOGIC_1164.ALL;USEIEEE.STD_LOGIC_UNSIGNED.ALL;ENTITYdecoder3to8ISport(input:INSTD_LOGIC_VECTOR(2DOWNTO0);output:OUTBIT_VECTOR(7DOWNTO0);ENDdecoder3to8;ARCHITECTUREbehaveOFdecoder3to8ISBEGINoutput=00000001SLLCONV_INTEGER(input);-被移位部分是常数!被移位部分是常数!ENDbehave;谢谢观看!谢谢观看!谢谢观看!谢谢观看!EDA技术实用教程

注意事项

本文(VHDL 操作符)为本站会员(早晚)主动上传,金锄头文库仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对上载内容本身不做任何修改或编辑。 若此文所含内容侵犯了您的版权或隐私,请立即阅读金锄头文库的“版权提示”【网址:https://www.jinchutou.com/h-59.html】,按提示上传提交保证函及证明材料,经审查核实后我们立即给予删除!

温馨提示:如果因为网速或其他原因下载失败请重新下载,重复下载不扣分。

分享当前资源【VHDL 操作符】到朋友圈,您即可以免费下载此资源!
微信扫一扫分享到朋友圈
二维码
操作提示:任选上面一个二维码,打开微信,点击“发现”使用“扫一扫”,即可将选择的网页分享到朋友圈
您可能感兴趣的------------------------------------------------------------------------------------------------------



关于金锄头网 - 版权申诉 - 免责声明 - 诚邀英才 - 联系我们
手机版 | 川公网安备 51140202000112号 | 经营许可证(蜀ICP备13022795号)
©2008-2016 by Sichuan Goldhoe Inc. All Rights Reserved.