电子文档交易市场
安卓APP | ios版本
电子文档交易市场
安卓APP | ios版本
换一换
首页 金锄头文库 > 资源分类 > PPT文档下载
分享到微信 分享到微博 分享到QQ空间

8.5 TLC5510接口电路及程序设计

  • 资源ID:26987463       资源大小:123.50KB        全文页数:11页
  • 资源格式: PPT        下载积分:10金贝
快捷下载 游客一键下载
账号登录下载
微信登录下载
三方登录下载: 微信开放平台登录   支付宝登录   QQ登录  
二维码
微信扫一扫登录
下载资源需要10金贝
邮箱/手机:
温馨提示:
快捷下载时,用户名和密码都是您填写的邮箱或者手机号,方便查询和重复下载(系统自动生成)。
如填写123,账号就是123,密码也是123。
支付方式: 支付宝    微信支付   
验证码:   换一换

 
账号:
密码:
验证码:   换一换
  忘记密码?
    
1、金锄头文库是“C2C”交易模式,即卖家上传的文档直接由买家下载,本站只是中间服务平台,本站所有文档下载所得的收益全部归上传人(卖家)所有,作为网络服务商,若您的权利被侵害请及时联系右侧客服;
2、如你看到网页展示的文档有jinchutou.com水印,是因预览和防盗链等技术需要对部份页面进行转换压缩成图而已,我们并不对上传的文档进行任何编辑或修改,文档下载后都不会有jinchutou.com水印标识,下载后原文更清晰;
3、所有的PPT和DOC文档都被视为“模板”,允许上传人保留章节、目录结构的情况下删减部份的内容;下载前须认真查看,确认无误后再购买;
4、文档大部份都是可以预览的,金锄头文库作为内容存储提供商,无法对各卖家所售文档的真实性、完整性、准确性以及专业性等问题提供审核和保证,请慎重购买;
5、文档的总页数、文档格式和文档大小以系统显示为准(内容中显示的页数不一定正确),网站客服只以系统显示的页数、文件格式、文档大小作为仲裁依据;
6、如果您还有什么不清楚的或需要我们协助,可以点击右侧栏的客服。
下载须知 | 常见问题汇总

8.5 TLC5510接口电路及程序设计

8.5 TLC5510接口电路及程序设计,TLC5510与FPGA接口电路,TLC5510是CMOS、8位、20MSPS模拟/数字转换器(ADC),它利用了半闪速结构。TLC5510用单5V电源工作,消耗功率100mW(典型值),具有内部采样和保持电路,具有高阻抗方式的并行口以及内部基准电阻(内部基准电阻使用VDDA可以产生标准的2V满度转换范围)。与闪速转换器(flash converters)相比,半闪速结构减少了功率损耗和晶片尺寸。通过在2步过程(2-step process)中实现转换,可大大减少比较器的数目。转换数据的等待时间为2.5个时钟。,FPGA与TLC5510的接口电路图,TLC5510与FPGA接口电路图的注释,FPGA_IO1提供TLC5510工作时钟。FPGA_IO29接收TLC5510的采样数据。FPGA_IO10为TLC5510提供输出使能信号OE,低电平有效。,左表为TLC5510与FPGA接口应用电路元器件 。,TLC5510 VHDL采样控制程序设计,TLC5510时序,TLC5510是以流水线的工作方式进行工作的。它在每一个CLK(时钟)周期都启动一次采样,完成一次采样;每次启动采样是在CLK的下降沿进行,不过采样转换结果的输出却在2.5CLK周期后,如果计算上输出延时td(D),从采样到输出需经2.5*CLK+ td(D)。对于需要设计的采样控制器,可以认为,每加一个采样CLK周期,A/D就输出一个采样数据。可以通过对FPGA系统时钟进行分频得到一个与TLC5510的工作周期相一致的CLK1送入TLC5510,就可以对TLC5510实现控制,TLC5510时序图,TLC5510 VHDL采样控制程序,TLC5510采样控制程序电路符号,TLC5510 VHDL采样控制程序,library ieee;use ieee.std_logic_1164.all;entity tlc5510 is port(clk :in std_logic; -系统时钟 oe :out std_logic; -TLC5510的输出使能/OE clk1:out std_logic; -TLC5510的转换时钟 din:in std_logic_vector(7 downto 0); -来自TLC5510的采样数据 dout:out std_logic_vector(7 downto 0);-FPGA数据输出end tlc5510;architecture behav of tlc5510 issignal q:integer range 3 downto 0;begin,接下页,process(clk) -此进程中,把CLK 进行4分频,得到TLC5510的转换时钟begin if clk'event and clk='1' then if q=3 then q=2 then clk1<='1' -对系统CLK进行4分频 else clk1<='0' end if;end process; oe<='0' -输出使能赋低电平 dout<=din; -采样数据输出 end behav;,

注意事项

本文(8.5 TLC5510接口电路及程序设计)为本站会员(油条)主动上传,金锄头文库仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对上载内容本身不做任何修改或编辑。 若此文所含内容侵犯了您的版权或隐私,请立即阅读金锄头文库的“版权提示”【网址:https://www.jinchutou.com/h-59.html】,按提示上传提交保证函及证明材料,经审查核实后我们立即给予删除!

温馨提示:如果因为网速或其他原因下载失败请重新下载,重复下载不扣分。




关于金锄头网 - 版权申诉 - 免责声明 - 诚邀英才 - 联系我们
手机版 | 川公网安备 51140202000112号 | 经营许可证(蜀ICP备13022795号)
©2008-2016 by Sichuan Goldhoe Inc. All Rights Reserved.