电子文档交易市场
安卓APP | ios版本
电子文档交易市场
安卓APP | ios版本
换一换
首页 金锄头文库 > 资源分类 > DOC文档下载
分享到微信 分享到微博 分享到QQ空间

单片机毕业论文-基于CPLD的三相多波形函数发生器

  • 资源ID:184585816       资源大小:507.39KB        全文页数:55页
  • 资源格式: DOC        下载积分:5金贝
快捷下载 游客一键下载
账号登录下载
微信登录下载
三方登录下载: 微信开放平台登录   支付宝登录   QQ登录  
二维码
微信扫一扫登录
下载资源需要5金贝
邮箱/手机:
温馨提示:
快捷下载时,用户名和密码都是您填写的邮箱或者手机号,方便查询和重复下载(系统自动生成)。
如填写123,账号就是123,密码也是123。
支付方式: 支付宝    微信支付   
验证码:   换一换

 
账号:
密码:
验证码:   换一换
  忘记密码?
    
1、金锄头文库是“C2C”交易模式,即卖家上传的文档直接由买家下载,本站只是中间服务平台,本站所有文档下载所得的收益全部归上传人(卖家)所有,作为网络服务商,若您的权利被侵害请及时联系右侧客服;
2、如你看到网页展示的文档有jinchutou.com水印,是因预览和防盗链等技术需要对部份页面进行转换压缩成图而已,我们并不对上传的文档进行任何编辑或修改,文档下载后都不会有jinchutou.com水印标识,下载后原文更清晰;
3、所有的PPT和DOC文档都被视为“模板”,允许上传人保留章节、目录结构的情况下删减部份的内容;下载前须认真查看,确认无误后再购买;
4、文档大部份都是可以预览的,金锄头文库作为内容存储提供商,无法对各卖家所售文档的真实性、完整性、准确性以及专业性等问题提供审核和保证,请慎重购买;
5、文档的总页数、文档格式和文档大小以系统显示为准(内容中显示的页数不一定正确),网站客服只以系统显示的页数、文件格式、文档大小作为仲裁依据;
6、如果您还有什么不清楚的或需要我们协助,可以点击右侧栏的客服。
下载须知 | 常见问题汇总

单片机毕业论文-基于CPLD的三相多波形函数发生器

天津工程师范学院毕业设计(论文)任务书题 目(包括副标题)基于CPLD的三相多波形函数发生器教师姓名闫舒静职 称高级实验师系 别教务处学生姓名陈志宏学 号09920030324班 级通信0303成果形式A论文 B设计说明书 C实物 D软件 E作品 任务下达时间2006年10月1毕业设计(论文)课题任务的内容和要求:1 应完成的任务设计制作一个基于CPLD的三相多波形函数发生器,能输出正弦波、三角波、方波等波形的信号源电路,波形间的相位差均为120。2 主要技术指标对三种波形的输出频率要求为:频率范围在20Hz-20kHz之间可调;三种波形之间的相位差均为120。a.对正弦波信号的要求为:步长为10Hz;频率稳定度:优于1/10000;非线性失真系数3%。b.对方波信号的要求是:频率上升和下降时间<1s;c.对三角波信号的要求为:信号频率范围在20Hz-20kHz之间可调。d.对以上三种频率均要求:产生的频率都可以预置;要求负载为600时,输出信号的幅值大于3V;输出的信号幅值能在100mv3V的范围内调整,步长为100mV。 3 工艺制作任务完成VHDL程序编写和下载;制作、调试CPLD下载板及调试程序;写出完整的设计说明书。4 设计基本要求 设计出来的函数发生器功能数据基本符合技术指标;各种功能能够实现;论文撰写符合标准。5 参考的文献资料1沈明山.EDA技术及可编程器件应用时训M .北京:科学出版社.20032付慧生.复杂可编程逻辑器件与应用设计M .北京:中国矿业大学出版社.20033李国洪,沈明山等.可编程器件EDA技术与实践M .北京:机械工业出版社.20044陈赜主编.CPLD/FPGA与ASIC设计实践教程M .北京:科学出版社.20045蔡明生.电子设计M .北京:高等教育出版社.20036李东升.电子设计自动化与IC设计M .北京:高等教育出版社.20047李洋.EDA技术实用教程M .北京:机械工业出版社.20058张秀娟,陈新华等.EDA设计与仿真实践M .北京:机械工业出版社.20049尹常永.EDA技术与数字系统设计M . 陕西:西安电子科技大学出版社.200310潭会生,张昌凡等.EDA技术与应用(第二版)M.陕西:西安电子科技大学出版社.200411王祖强.电子实际自动化(EDA)技术实验教程M .山东:山东大学出版社.200312朱正伟.EDA技术及应用M .北京:清华大学出版社.200413刘艳萍,高振斌等.EDA实用技术及应用M .北京:国防工业出版社.200514焦素敏.EDA应用技术M .北京:清华大学出版社.200415潘松,黄继业等.EDA技术与VHDLM .北京:清华大学出版社.20032毕业设计(论文)工作进度计划:周 次工作内容早进入阶段第1周第2周3-5周6-8周9-10周拟订设计目标,查找资料,准备开题报告;熟悉各种要用到的软件和硬件,为今后做准备;进行设计规划,确定设计具体步骤及方案;用MUS+PLUS等软件编写所需程序;下载程序并调试波形发生器;准备毕业设计论文答辩。教研室(学科组)主任签字: 毕 业 设 计 开 题 报 告基于CPLD的三相多波形函数发生器系 别: 电子工程系 班 级: 通信0303 学生姓名: 陈志宏 指导教师: 闫舒静 2006 年 11 月 9 日毕业设计开题报告课题题目基于CPLD的三相多波形函数发生器课题性质A B C D E 课题来源A B C D 成果形式A B C D E 同组同学无见附页指导教师意见(课题难度是否适中、工作量是否饱满、进度安排是否合理、工作条件是否具备等)指导教师签名: 月 日 专家组及系里意见(选题是否适宜、各项内容是否达到毕业设计(论文)大纲要求、整改意见等)专家组成员签字: 教学主任(签章): 月 日基于CPLD的三相多波形函数发生器的设计1 主要技术指标设计制作一个基于CPLD的三相多波形函数发生器,能输出正弦波、三角波、方波等波形的信号源电路。a.对正弦波信号的要求为:信号频率范围:20Hz-20kHz之间可调,步长为10Hz;频率稳定度:优于1/10000;非线性失真系数3%。b.对方波信号的要求是:信号频率范围:20Hz-20kHz;上升和下降时间<1s;c.对三角波信号的要求为:信号频率范围:20Hz-20kHz之间可调。d.对以上三种频率均要求:产生的频率都可以预置;要求负载为600时,输出信号的幅值大于3V;输出的信号幅值能在100mv3V的范围内调整,步长为100mV。 以上三种波形之间的相位差均为120。2 工作思路数字直接频率合成(Direct Digital Synthesis)是20世纪60年代末出现的第三代频率合成技术。该技术从相位概念出发,以时域采样定理为基础,在时域中进行频率合成。DDS频率转换速度快,频率分辨率高,并在频率转换时可保持相位的连续,因而易于实现多种调制功能。DDS是全数字化技术,其幅度、相位、频率均可实现程控,并可通过更换波形数据灵活实现任意波形。 数字直接频率合成(DDS)是产生正弦波、方波、矩形波和三角波等比较理想的方法,可以通过软件和硬件实现,即首先将构成波形的数据编程存入EPROM中,然后再利用累加器按照频率要求相对应的相位增量作累加,再以累加器的相位值为地址码,读取存储器中的波形数据,经过D/A变换和滤波后得到波形信号输出。CPLD的内部结构首先由控制寄存器将外部控制器送入的数据转换为频率和幅度控制字;然后再由分频器根据频率控制字进行分频并将输出作为寻址计数器的时钟;寻址计数器的寻址空间为360字节,由于寻址空间为360字节,故在输出寻址数大于360时,须对360取模。通过模360加法器可以产生120的相位差。模360加法器设计这个模块是用来产生120的相移,以形成三相相差为120的输出波形。CPLD幅度控制字经D/A转换输出后,可作为查找表输出DAC的参考电压,该参考电压可通过改变幅度控制字来进行改变,从而改变输出信号的幅度。 控制寄存器的设计主要是将外部控制器输入的数据转换为频率和幅度控制字。分频比可变的分频器模块的设计主要是根据频率控制字决定分频倍数,从而输出与频率控制字相对应的频率时钟,此模块的输出可作为寻址计数器的时钟。寻址计数器主要用于产生对ROM寻址输出波形数据的寻址信号,其寻址空间为360字节。可对ROM中的查找表进行寻址,查找表ROM设计这个模块主要用于存储各种波形数据,以便通过寻址计数器寻址输出并经D/A转换来输出各种波形,其中包括正弦波、三角波、方波以及锯齿波。此方案可以方便地输出多种三相波形,而且由于CPLD具有可编程重置特性,因而可以方便地改变控制方式或更换波形数据,而且简单易行,易于系统升级,同时具有很高的性价比。工艺设计选题定指标电子系统设计电路板制作制样板整理文档资料鉴定图1 毕业设计流程图MAX+PLUS是第三代 CPLD/FPGA开发系统,它界面友好,使用方便;设计者无须精通器件内部的复杂结构;可以采用多种设计输入方式建立设计项目。MAX+PLUS的在平台的操作菜单十分便于设计者运用这些模块完成较复杂的设计;MAX+PLUS具有门级仿真器,可以进行功能仿真和时序仿真,能产生精确的仿真结果。VHDL语言是一种硬件描述语言,它的全称为“超高速集成电路硬件描述语言”。VHDL中,被描述的电路或系统由实体和结构体两个部分组成。实体说明部分描述该电路或系统的接口信息,结构体部分则描述该电路或系统的内部结构、数据流或动作行为的情况,用它适配于模块的输入/输出,体现出实体端口的功能。实体和结构体相配合组成VHDL设计文件。一个设计实体可以包含一个或多个结构体,用于描述设计实体的逻辑结构和逻辑功能。基于CPLD和DDS技术的函数发生器可以实现信号波形的多样化,而且方便可靠,简单经济,系统易于扩展,同时可大大提高输出信号的带宽。以上介绍的是基于可编程逻辑器件CPLD和数字直接频率合成技术(DDS)的三相多波形函数发生器的基本原理,也就是进行本次设计工作的工作思路。设计准备和系统划分设计输入(HDL语言或波形图)编译并进行流程仿真适配前时序仿真适配器CPLD实现适配后仿真模型适配后时序仿真图2 CPLD的基本设计工作流程3 课题的准备情况及进度计划在课题的准备中,我查阅了图书馆的相关书籍并上网搜寻了相关资料,了解了许多关于DDS与CPLD方面的信息,使自己的对这一课题的认识得到了提高,同时对制作此函数发生器有了很大信心。现在前期准备工作已基本完成。进度计划:在0607学年下学期第十周以前完成。 进度时间安排 计划任务 早进入阶段拟订设计目标,准备开题报告 12周进行设计规划,确定设计具体步骤及方案 35周用MUS+PLUS等软件编写所需程序 68周下载程序并调试波形发生器 910周准备毕业设计论文答辩4主要参考文献1沈明山.EDA技术及可编程器件应用时训M.北京:科学出版社.20032付慧生.复杂可编程逻辑器件与应用设计M .北京:中国矿业大学出版社. 20033李国洪,沈明山.可编程器件EDA技术与实践M .北京:机械工业出版社.20044陈赜.CPLD/FPGA与ASIC设计实践教程M .北京:科学出版社.20045蔡明生.电子设计M .北京: 高等教育出版社.20036李东升.电子设计自动化与IC设计M .北京:高等教育出版社.20047李洋.EDA技术实用教程M .北京:机械工业出版社.20058张秀娟,陈新华等.EDA设计与仿真实践M .北京:机械工业出版社.20049尹常永.EDA技术与数字系统设计M .陕西:西安电子科技大学出版社.200310潭会生,张昌凡等.EDA技术与应用(第二版)M.陕西:西安电子科技大学出版社.200411王祖强.电子实际自动化(EDA)技术实验教

注意事项

本文(单片机毕业论文-基于CPLD的三相多波形函数发生器)为本站会员(武***)主动上传,金锄头文库仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对上载内容本身不做任何修改或编辑。 若此文所含内容侵犯了您的版权或隐私,请立即阅读金锄头文库的“版权提示”【网址:https://www.jinchutou.com/h-59.html】,按提示上传提交保证函及证明材料,经审查核实后我们立即给予删除!

温馨提示:如果因为网速或其他原因下载失败请重新下载,重复下载不扣分。




关于金锄头网 - 版权申诉 - 免责声明 - 诚邀英才 - 联系我们
手机版 | 川公网安备 51140202000112号 | 经营许可证(蜀ICP备13022795号)
©2008-2016 by Sichuan Goldhoe Inc. All Rights Reserved.