电子文档交易市场
安卓APP | ios版本
电子文档交易市场
安卓APP | ios版本
换一换
首页 金锄头文库 > 资源分类 > DOC文档下载
分享到微信 分享到微博 分享到QQ空间

单片机毕业论文-基于FPGA多通道采样系统设计

  • 资源ID:184585814       资源大小:954.38KB        全文页数:56页
  • 资源格式: DOC        下载积分:5金贝
快捷下载 游客一键下载
账号登录下载
微信登录下载
三方登录下载: 微信开放平台登录   支付宝登录   QQ登录  
二维码
微信扫一扫登录
下载资源需要5金贝
邮箱/手机:
温馨提示:
快捷下载时,用户名和密码都是您填写的邮箱或者手机号,方便查询和重复下载(系统自动生成)。
如填写123,账号就是123,密码也是123。
支付方式: 支付宝    微信支付   
验证码:   换一换

 
账号:
密码:
验证码:   换一换
  忘记密码?
    
1、金锄头文库是“C2C”交易模式,即卖家上传的文档直接由买家下载,本站只是中间服务平台,本站所有文档下载所得的收益全部归上传人(卖家)所有,作为网络服务商,若您的权利被侵害请及时联系右侧客服;
2、如你看到网页展示的文档有jinchutou.com水印,是因预览和防盗链等技术需要对部份页面进行转换压缩成图而已,我们并不对上传的文档进行任何编辑或修改,文档下载后都不会有jinchutou.com水印标识,下载后原文更清晰;
3、所有的PPT和DOC文档都被视为“模板”,允许上传人保留章节、目录结构的情况下删减部份的内容;下载前须认真查看,确认无误后再购买;
4、文档大部份都是可以预览的,金锄头文库作为内容存储提供商,无法对各卖家所售文档的真实性、完整性、准确性以及专业性等问题提供审核和保证,请慎重购买;
5、文档的总页数、文档格式和文档大小以系统显示为准(内容中显示的页数不一定正确),网站客服只以系统显示的页数、文件格式、文档大小作为仲裁依据;
6、如果您还有什么不清楚的或需要我们协助,可以点击右侧栏的客服。
下载须知 | 常见问题汇总

单片机毕业论文-基于FPGA多通道采样系统设计

计算机系毕业设计开题报告报告日期: 年 月 日姓名: 学科专业: 论文题目: 基于单片机的有线传呼对讲系统的设计与实现 题目类型: 工程设计 . 题目来源: 结合生产实际 二、在选题过程中已查阅的文献资料(列出文献资料),还做了哪些调研、准备工作?1. 查阅的文献资料1罗凡华编.对讲机原理、使用及维修图集M.北京:人民邮电出版社,1993 2尹建华 张惠群 刘鲁源 刘迎澍编著.微型计算机原理与接口技术M.北京:高等教育出版社,20023李学海编著.EM78单片机实用教程基础篇M.北京:电子工业出版社,2003 4白驹珩 雷晓平编.单片计算机及其应用M.成都:电子科技大学出版社,20005吴玉平译. MCS51 Microcontroller Family Users ManualM.北京:电子工业出版社,19956扬振江,蔡德芳.新型集成电路使用指南与典型应用M.西安:西安电子科技大学出版社7谢自美. 电子线路设计.实验.测试M.武汉:华中科技大学出版社,20008江思敏. Protel电路设计教程M.北京:清华出版社,2002.9张积东. 单片机51/98开发与应用M.北京:北京电子工业出版社, 199410吴金戊,沈庆阳,郭庭吉. 8051单片机实践与应用M.北京:清华大学出版社,200211Control Systems Theory with Engineering Applications Boston.Basel.berlin,200112肖蕙蕙,傅晓林编.数字电子技术基础M.重庆:重庆大学出版社,2002 13上海中专计算机协作组编.微机与单片机原理及应用M.上海:复旦大学出版社,1995 三、所选课题目前国内外科技发展动态如何?自本世纪80年代FPGA(Field-Programmable Gate Array 现场可编程门阵列)是近年来广泛应用的超大规模、超高速的可编程逻辑器件,由于其具有高集成度(单片集成的系统门数达上千万门)、高速(200MHz以上)、在线系统可编程等优点,为数字系统的设计带来了突破性变革,大大推动了数字系统设计的单片化、自动化,提高了单片数字系统的设计周期、设计灵活性和可靠性。在超高速信号处理和实时测控方面有非常广泛的应用。当今社会是数字化的社会,是数字集成电路广泛应用的社会。数字集成电路本身在不断地进行更新换代。它由早期的电子管、晶体管、小中规模集成电路、发展到超大规模集成电路(VLSIC,几万门以上)以及许多具有特定功能的专用集成电路。但是,随着微电子技术的发展,设计与制造集成电路的任务已不完全由半导体厂商来独立承担。系统设计师们更愿意自己设计专用集成电路(ASIC)芯片,而且希望ASIC的设计周期尽可能短,最好是在实验室里就能设计出合适的ASIC芯片,并且立即投入实际应用之中,因而出现了现场可编程逻辑器件(FPLD),其中应用最广泛的当属现场可编程门阵列(FPGA)和复杂可编程逻辑器件(CPLD)。四、阐述拟选题的目的、意义、要完成的工作和预期结果1. 目的和意义本次毕业设计的课题对我来说是一个很新的题目,所以什么都要从新开始,特别是VHDL语言的运用。我想通过这次毕业设计,将理论与实践结合得更加紧密,进一步强化动手能力,为我以后的工作打下基础。 2要完成的工作 1.查找资料,对FPGA有一定的了解 2.毕业论文的撰写,包括英文翻译 3.简单的外围电路的设计 4.对FPGA芯片的编程与测试仿真 5.整和电路和硬件测试 6.论文的整理3预期结果 通过系统的设计,可以达到多通道的音频的采集,并能回放采集的音频数据。五、毕业设计所必需的实验、计算、加工等初步计划1. 电路的参数的计算(主要是滤波器和音频采集电路的参数设计),并根据参数设计电路板2. 对FPGA芯片的编程与测试仿真3. 整机调试六、毕业设计工作进度安排时间安排完成工作时间安排第1周第4周准备阶段:主要是查找资料和理论学习阶段,在这个阶段还要完成的是方案的确定。(两个星期)第1周第4周第5周第6周硬件设计阶段:主要完成外围的电路的设计第5周第6周第7周第8周软件编程阶段:主要完成对FPGA芯片的编成,同时要完成芯片引脚的确定第7周第8周第9周第11周硬件制作阶段:通过对FPGA芯片引脚的定义,设计完成电路图,并做好电路版,着手撰写论文第9周第11周第11周第12周整机调试阶段:对系统进行整机调试,尽量达到设计要求第11周第12周第13周第14周完善系统功能,修改论文第13周第14周第15周第16周准备毕业论文及答辩第15周第16周七、对选题报告的评论意见 主持人签名: 年 月 日 毕业设计(论文)中期检查表 系(部)填表日期: 年 月 日班 次 学生姓名 题目名称基于FPGA多通道采样系统设计题目来源教改、科研 结合生产实际 教师自选课题 实习单位课题题目类型理论研究 实验研究 工程设计 工程技术研究软件开发指导教师 工作地点校内:计算机系实验室校外:设计时间年 月 日至 年 月 日工作量及难度太大大小适 中很 难较 难一 般简 单题目价值实用价值题目推广价值题目科研价值题目课题主要内容利用所学的知识,设计、制作基于FPGA的多通道采样系统。(1)八通道采集,其中至少包括一路音频信号;(2)采样速率大于44.1KHZ;(3)12位采样数据;完成情况全部完成大部分完成完成一半大部分未完成存在困难1 系统工作不稳定,在工作完一段时间会停止工作,需要重新配置才可以使芯片工作2 采样后的数据输出有问题解决办法把AD的4条控制线重新焊接,可以解决系统工作稳定的问题预期成绩优 秀良 好中 等及 格不及格建议 检查教师签名: 教务处实践教学科制表说明:1、本表由检查毕业设计的指导教师如实填写;2、此表要放入毕业设计(论文)档案袋中;3、各系分类汇总后报教务处实践教学科备案。 毕业设计(论文)说明书题 目:基于FPGA的多通道采样系统设计 院 (系): 专 业: 学生姓名: 学 号: 指导教师: 职 称: 题目类型: 理论研究 实验研究 工程设计 工程技术研究 软件开发年 月 日 摘 要本论文介绍了基于FPGA的多通道采样系统的设计。用FPGA设计一个多通道采样控制器,利用VHDL语言设计有限状态机来实现对AD7892的控制。由于FPGA器件的特性是可以实现高速工作,为此模拟信号选用音频信号。由于音频信号的频率是20Hz-20KHz,这样就对AD转换的速率有很高的要求.因为FPGA的功能很强大,所以我们把系统的许多功能都集成到FPGA器件中,例如AD通道选择部分,串并输出控制模块,这样使得整个系统的外围电路简单、系统的稳定性强。FPGA的配置模式选用被动串行模式,这样就增强了系统的可扩展性。输出模式可选择性使得系统的应用相当广泛,串行输出可以用于通信信号的采集,方便调制后发射到远程接受端,远程接收端对采集的数据进行解调;而并行输出模式则可以通过高速存储器将采集的信号放到微机或者其他的处理器上,根据采集的数据进行相应的控制。此系统的缺点是由于FPGA器件配置是基于SRAM查找表单元,编程的信息是保持在SRAM中,但SRAM在掉电后编程信息立即丢失,所以每次系统上电都需要重新配置芯片,这对在野外作业的工作人员很不方便,解决的方法是专用的配置器件来配置FPGA,在每次系统上电的时候会自动把编程信息配置到FPGA芯片中。但设计中没有采用到这种配置方案主要是考虑到专用配置器件的价格问题。本文开始介绍了多通道系统的组成部分,然后分别介绍了各个组成部分的原理和设计方法,其中重点介绍了FPGA软件设计部分。还对当前十分流行的基于FPGA的设计技术作了简单的阐述,最后对系统的调试和应用作了简短的说明。关键词:音频放大;滤波器;FPGA;VHDL;AD7892;有限状态机;AbstractThe paper introduces the design of multiple channel sampling system based on FPGA, It designs a multiple channel control sampling instrument with FPGA, I use VHDL to design ASM and then achieve the control to AD7892. Because the FPGA device can work in high-speed, we select audio signal for analog signals. The range of audio signal frequency is 20Hz-20 KHz, And then the transform speed of AD sampling must be very high. We integrate many modules in the FPGA device. For example the AD sampling channel control, the mode of output which made the circuit simply and the system stably. We choose Passive Serial for configuring the FPGA device which made the system can extend easily. The mode of output can control which made this system can

注意事项

本文(单片机毕业论文-基于FPGA多通道采样系统设计)为本站会员(武***)主动上传,金锄头文库仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对上载内容本身不做任何修改或编辑。 若此文所含内容侵犯了您的版权或隐私,请立即阅读金锄头文库的“版权提示”【网址:https://www.jinchutou.com/h-59.html】,按提示上传提交保证函及证明材料,经审查核实后我们立即给予删除!

温馨提示:如果因为网速或其他原因下载失败请重新下载,重复下载不扣分。




关于金锄头网 - 版权申诉 - 免责声明 - 诚邀英才 - 联系我们
手机版 | 川公网安备 51140202000112号 | 经营许可证(蜀ICP备13022795号)
©2008-2016 by Sichuan Goldhoe Inc. All Rights Reserved.