电子文档交易市场
安卓APP | ios版本
电子文档交易市场
安卓APP | ios版本

数字钟设计-硬件课程设计

32页
  • 卖家[上传人]:龙***
  • 文档编号:593673
  • 上传时间:2017-04-08
  • 文档格式:DOC
  • 文档大小:746.50KB
  • / 32 举报 版权申诉 马上下载
  • 文本预览
  • 下载提示
  • 常见问题
    • 1、东 北 石 油 大 学课 程 设 计2009 年 7 月 10 日课 程 硬件课程设计 题 目 数字钟设计 院 系 计算机与信息技术学院 专业班级 学生姓名 学生学号 1 指导教师 东北石油大学课程设计任务书课程 硬件课程设计题目 数字钟设计专业 计算机 姓名 学号 主要内容、基本要求等一、主要内容:利用 EL 教学实验箱、微机和 Quartus软件系统,使用 VHDL 语言输入方法设计数字钟。可以利用层次设计方法和 VHDL 语言,完成硬件设计设计和仿真。最后在 EL 教学实验箱中实现。二、基本要求:1.具有时,分,秒,计数显示功能,以 24 小时循环计时。2.具有清零功能。三、扩展要求1.调节小时、分钟功能。 2.整点报时功能,整点报时的同时 LED 灯花样显示。按照规范写出论文,要求字数在 4000 字以上,并进行答辩。论文内容包括概述(学习、调研、分析、设计的内容摘要) 、EDA 技术的现状和发展趋势、对 EL教学实验箱和 Quartus软件的掌握程度、数字钟的设计过程(包括原理图或程序设计、编译、仿真分析、硬件测试的全过程) ,论文中含有原理图、程序、仿真波形图及其分析报告。

      2、完成期限 2 周 指导教师 专业负责人 2012 年 6 月 10 日东北石油大学课程设计成绩评价表课程名称 硬件课程设计题目名称 数字钟设计学生姓名 学号序号 评价项目 指 标(优秀) 满分 评分1 选题难度选题难度较高,或者对原题目进行了相当程度的改进。102工作量、工作态度和出勤率工作量饱满,工作努力,遵守纪律,出勤率高,工作作风严谨,善于与他人合作。103 课程设计质量按期圆满的完成了规定的任务,方案设计合理,思考问题全面,系统功能完善。404 报告质量问题论述思路清晰,结构严谨,文理通顺,撰写规范,图表完备正确。305 回答问题在进行课程设计程序系统检查时,能正确回答指导教师所提出的问题。106 创新(加分项)工作中有创新意识,对前人工作有改进或有应用价值。在进行系统检查时能对创新性进行说明,并在报告中有相应的论述。+5总分评语:指导教师: 梁吉胜 2012 年 6 月 10 日摘 要本文介绍了利用 EDA-V 硬件系统和微机上的 Quartus7.2-II 等软件系统。VHDL 的英文全名是 Very-High-Speed Integrated Circuit Hardwa

      3、reDescription Language,诞生于 1982 年。1987 年底,VHDL 被 IEEE 和美国国防部确认为标准硬件描述语言 。自 IEEE 公布了 VHDL 的标准版本,IEEE-1076(简称 87 版)之后,各 EDA 公司相继推出了自己的 VHDL 设计环境,或宣布自己的设计工具可以和 VHDL 接口。此后 VHDL 在电子设计领域得到了广泛的接受,并逐步取代了原有的非标准的硬件描述语言。有专家认为,在新的世纪中,VHDL于 Verilog 语言将承担起大部分的数字系统设计任务。本文设计主要利用 VHDL 语言在 EDA 平台上设计一个电子数字钟,它的计时周期为 24 小时,显示满刻度为 23 时 59 分 59 秒,另外还具有校时功能和闹钟功能。总的程序由几个各具不同功能的单元模块程序拼接而成,其中包括分频程序模块、时分秒计数和设置程序模块、比较器程序模块、三输入数据选择器程序模块、译码显示程序模块和拼接程序模块。并且使用 Quartus7.2-II 软件进行电路波形仿真,下载到 EDA 实验箱进行验证。关键词: EDA(电子设计自动化) ;VHDL(硬件描

      4、述语言) ,数字钟。目录第 1 章 概 述 .11.1 EDA 的 概 念 .11.2 EDA 的 工 作 平 台 .21.3 EDA 的发展趋势 4第 2 章 数字钟设计的系统分析 .62.1 设 计 目 的 .62.2 功 能 说 明 .62.3 实 验 原 理 .62.4 系 统 硬 件 .6第 3 章 数字钟的底层电路设计 .83.1 设 计 规 划 .83.2 设 计 说 明 .83.3 底 层 电 路 程 序 .9第 4 章 数字钟的顶层文件设计 .184.1 设 计 说 明 .184.2 顶 层 文 件 程 序 .18第 5 章 数字钟设计的测试与运行 .215.1 数 字 钟 的 调试 .215.2 数 字 钟 的 适 配 与 测 试 .24结 论 .26参考文献 .27东北石油大学本科生课程设计(论文)1第 1 章 概 述1.1 EDA 的概念EDA 是电子设计自动化 Electronic Design Automation 的缩写。EDA 技术是以大规模可编程逻辑器件为设计载体,以硬件描述语言为系统逻辑描述的主要表达方式,以计算机、大规模可编程逻辑器件的开发软件及实

      5、验开发系统为设计工具,通过有关的开发软件,自动完成用软件的方式设计电子系统到硬件系统的一门新技术。可以实现逻辑编译、逻辑化简、逻辑分割、逻辑综合及优化,逻辑布局布线、逻辑仿真。完成对于特定目标芯片的适配编译、逻辑映射、编程下载等工作,最终形成集成电子系统或专用集成芯片。1.1.1EDA 技术及应用电子设计技术的核心就是 EDA 技术,EDA 是指以计算机为工作平台,融合应用电子技术、计算机技术、智能化技术最新成果而研制成的电子 CAD 通用软件包,主要能辅助进行三方面的设计工作,即 IC 设计、电子电路设计和 PCB 设计。EDA 技术已有 30 年的发展历程,大致可分为三个阶段。70 年代为计算机辅助设计(CAD)阶段,人们开始用计算机辅助进行 IC 版图编辑、PCB 布局布线,取代了手工操作。80 年代为计算机辅助工程(CAE)阶段。与 CAD 相比,CAE除了有纯粹的图形绘制功能外,又增加了电路功能设计和结构设计,并且通过电气连接网络表将两者结合在一起,实现了工程设计。CAE 的主要功能是:原理图输人,逻辑仿真,电路分析,自动布局布线,PCB 后分析。90 年代为电子系统设计自动化(EDA)阶段 3。EDA 技术 发展迅猛,逐渐在教学、科研、产品设计与制造等各方面都发挥着巨大的作用。在教学方面:几乎所有理工科(特别是电子信息)类的高校都开设了EDA 课程。主要是让学生了解 EDA 的基本原理和基本概念、鳞握用佃 L 描述系统逻辑的方法、使用扔 A 工具进行电子电路课程的模拟仿真实验并在作毕业设计时从事简单电子系统的设计,为今后工作打下基础。具有代表性的是全国每两年举办一次大学生电子设计竞赛活动。在科研方面:主要利用电路仿真工具(EwB东北石油大学本科生课程设计(论文)2或 PSPICE、 VLOL 等)进行电路设计与仿真;利用虚拟仪器进行产品调试;将 O)LI) FPGA 器件的开发应用到仪器设备中。例如在 CDMA 无线通信系统中,所有移动手机和无线基站都工作在相同的频谱,为区别不同的呼叫,每个手机有一个唯一的码序列,CDMA 基站必须能判别这些不同观点的码序列才能分辨出不同的传呼进程;这一判别是通过匹配滤

      《数字钟设计-硬件课程设计》由会员龙***分享,可在线阅读,更多相关《数字钟设计-硬件课程设计》请在金锄头文库上搜索。

      点击阅读更多内容
    TA的资源
  • 一号教学楼一层地面修缮工程竞争性磋商文件

    一号教学楼一层地面修缮工程竞争性磋商文件

  • 新能源高端设备制造示范项目(一期)施工图设计服务招标文件正文

    新能源高端设备制造示范项目(一期)施工图设计服务招标文件正文

  • 新丰镇农村公路大中修-新北线(一期南段)招标文件正文

    新丰镇农村公路大中修-新北线(一期南段)招标文件正文

  • 长信科技:长信科技拟发行股份及支付现金购买资产涉及的芜湖长信新型显示器件有限公司股东全部权益价值项目资产评估报告

    长信科技:长信科技拟发行股份及支付现金购买资产涉及的芜湖长信新型显示器件有限公司股东全部权益价值项目资产评估报告

  • 山东科技大学城市轨道交通调度系统考核装置采购项目竞争性磋商

    山东科技大学城市轨道交通调度系统考核装置采购项目竞争性磋商

  • 山东墨龙:寿光宝隆石油器材有限公司评估报告

    山东墨龙:寿光宝隆石油器材有限公司评估报告

  • 浙商中拓:三维企业评估报告

    浙商中拓:三维企业评估报告

  • 大丰区乡村振兴(农村公路大中修工程)——三裕线招标文件招标文件正文

    大丰区乡村振兴(农村公路大中修工程)——三裕线招标文件招标文件正文

  • 恒辉安防:最近三年的财务报告及其审计报告以及最近一期的财务报告

    恒辉安防:最近三年的财务报告及其审计报告以及最近一期的财务报告

  • 浙商中拓:三维企业审计报告

    浙商中拓:三维企业审计报告

  • 唯万密封:上海唯万密封科技股份有限公司拟现金购买上海嘉诺密封技术有限公司股权所涉及的上海嘉诺密封技术有限公司股东全部权益价值资产评估报告

    唯万密封:上海唯万密封科技股份有限公司拟现金购买上海嘉诺密封技术有限公司股权所涉及的上海嘉诺密封技术有限公司股东全部权益价值资产评估报告

  • 顺控发展:佛山市顺合环保有限公司模拟审计报告

    顺控发展:佛山市顺合环保有限公司模拟审计报告

  • 唯万密封:上海嘉诺密封技术有限公司审计报告

    唯万密封:上海嘉诺密封技术有限公司审计报告

  • 琏升科技:眉山琏升光伏科技有限公司2023年1-7月审计报告

    琏升科技:眉山琏升光伏科技有限公司2023年1-7月审计报告

  • 天娱数科:山西聚为科技有限公司审计报告

    天娱数科:山西聚为科技有限公司审计报告

  • 顺威股份:江苏骏伟精密部件科技股份有限公司模拟审计报告

    顺威股份:江苏骏伟精密部件科技股份有限公司模拟审计报告

  • 山东墨龙:威海市宝隆石油专材有限公司评估报告

    山东墨龙:威海市宝隆石油专材有限公司评估报告

  • 顺威股份:广州顺威新能源汽车有限公司拟股权收购涉及江苏骏伟精密部件科技股份有限公司模拟股东全部权益价值资产评估报告

    顺威股份:广州顺威新能源汽车有限公司拟股权收购涉及江苏骏伟精密部件科技股份有限公司模拟股东全部权益价值资产评估报告

  • 盈峰环境:佛山市顺合环保有限公司模拟审计报告

    盈峰环境:佛山市顺合环保有限公司模拟审计报告

  • 领益智造:最近三年的财务报告及其审计报告以及最近一期的财务报告

    领益智造:最近三年的财务报告及其审计报告以及最近一期的财务报告

  • 点击查看更多
    最新标签
    信息化课堂中的合作学习结业作业七年级语文 发车时刻表 长途客运 入党志愿书填写模板精品 庆祝建党101周年多体裁诗歌朗诵素材汇编10篇唯一微庆祝 智能家居系统本科论文 心得感悟 雁楠中学 20230513224122 2022 公安主题党日 部编版四年级第三单元综合性学习课件 机关事务中心2022年全面依法治区工作总结及来年工作安排 入党积极分子自我推荐 世界水日ppt 关于构建更高水平的全民健身公共服务体系的意见 空气单元分析 哈里德课件 2022年乡村振兴驻村工作计划 空气教材分析 五年级下册科学教材分析 退役军人事务局季度工作总结 集装箱房合同 2021年财务报表 2022年继续教育公需课 2022年公需课 2022年日历每月一张 名词性从句在写作中的应用 局域网技术与局域网组建 施工网格 薪资体系 运维实施方案 硫酸安全技术 柔韧训练 既有居住建筑节能改造技术规程 建筑工地疫情防控 大型工程技术风险 磷酸二氢钾 2022年小学三年级语文下册教学总结例文 少儿美术-小花 2022年环保倡议书模板六篇 2022年监理辞职报告精选 2022年畅想未来记叙文精品 企业信息化建设与管理课程实验指导书范本 草房子读后感-第1篇 小数乘整数教学PPT课件人教版五年级数学上册 2022年教师个人工作计划范本-工作计划 国学小名士经典诵读电视大赛观后感诵读经典传承美德 医疗质量管理制度 2 2022年小学体育教师学期工作总结
    关于金锄头网 - 版权申诉 - 免责声明 - 诚邀英才 - 联系我们
    手机版 | 川公网安备 51140202000112号 | 经营许可证(蜀ICP备13022795号)
    ©2008-2016 by Sichuan Goldhoe Inc. All Rights Reserved.